首页 | 官方网站   微博 | 高级检索  
     

基于FPGA的数字波束合成器设计
引用本文:毕永年.基于FPGA的数字波束合成器设计[J].电子技术,2003,30(8):56-57.
作者姓名:毕永年
作者单位:浙江大学生物医学工程系,310027
摘    要:文章介绍了超声成像中数字波束合成器的原理 ,给出了采用FPGA实现波束合成器的结构和实现模块 ,以及其中的关键技术。

关 键 词:超声成像  数字波束合成器  动态聚焦  FPGA

Design Of A Digital Wave Beam Focalizer Based On FPGA
Abstract:
Keywords:
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号