首页 | 官方网站   微博 | 高级检索  
     

基于FPGA的CAVLC编解码器设计与实现
引用本文:黄菠,樊丰,伍瑞卿.基于FPGA的CAVLC编解码器设计与实现[J].中国有线电视,2006(21):2109-2112.
作者姓名:黄菠  樊丰  伍瑞卿
作者单位:电子科技大学,四川,成都,610054
摘    要:H.264标准在基本档次和扩展档次中采用CAVLC熵编码,完成对变换系数残差块的编码。提出一种基于FPGA的H.264标准的CAVLC编解码器,程序代码用verilog硬件描述语言编写,并在QuartusⅡ中进行了仿真验证,可以实现对每个残差块数据的编解码并将其按照宏块光栅扫描的顺序输出到存储器,因此适合于嵌入在最终的码流中。仿真结果表明此CAVLC编解码器达到H.264标准中基本档次和扩展档次level3.0的性能要求。

关 键 词:熵编码  非零系数
文章编号:1007-7022(2006)21-2109-04
收稿时间:2006-07-12
修稿时间:2006年7月12日

A Design and Implementation of CAVLC CODEC Based on FPGA
HUANG Bo,FAN Feng,WU Rui-qing.A Design and Implementation of CAVLC CODEC Based on FPGA[J].China Cable Television,2006(21):2109-2112.
Authors:HUANG Bo  FAN Feng  WU Rui-qing
Affiliation:University of Electronic Science and Technology, Sichuan Chengdu 610054, China
Abstract:
Keywords:CAVLC  H  264/AVC
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号