首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   136篇
  免费   14篇
  国内免费   4篇
工业技术   154篇
  2023年   2篇
  2022年   2篇
  2021年   2篇
  2020年   4篇
  2019年   11篇
  2018年   9篇
  2016年   7篇
  2015年   7篇
  2014年   7篇
  2013年   4篇
  2012年   5篇
  2011年   13篇
  2010年   8篇
  2009年   11篇
  2008年   7篇
  2007年   13篇
  2006年   3篇
  2005年   5篇
  2004年   3篇
  2003年   1篇
  2002年   14篇
  2001年   6篇
  1998年   3篇
  1996年   3篇
  1995年   1篇
  1994年   1篇
  1993年   1篇
  1981年   1篇
排序方式: 共有154条查询结果,搜索用时 15 毫秒
1.
<正>住宅工程现浇板裂缝是常见的工程质量问题。本文对某住宅工程现浇板裂缝质量问题的检测鉴定及处理情况进行介绍,为减少现浇板裂缝及对该类问题的处理提供些许借鉴。一、工程概况某住宅工程2015年底建成,建筑为钢筋混凝土剪力墙结构,地上9层,地下1层,基础采用筏形基础,建筑面积6 230 m~2,第7层某业主收房装修时发现自家现浇顶板出现裂缝,建设单位委托某检测单位对裂缝问  相似文献   
2.
表面残余应力是表面完整性的重要指标,基于此研究刀具磨损对TB6钛合金车削表面残余应力的影响。应用计算机软件建立磨损刀具与TB6钛合金的有限元模型,仿真分析不同后刀面磨损量的刀具在车削过程中对切削力、切削温度和表面残余应力的影响,得到切削力、切削温度的变化趋势,以及进给方向和切削方向的表面残余应力受影响规律。  相似文献   
3.
为适应现代记录仪系统地面测试时的多次实验、循环存储、数据分类需求,设计了一种按上电次数循环存储的记录器控制系统,该系统采用STC15单片机的比较器作为电压监测模块,使用FPGA作为主控,通过长距离的LVDS线连接存储模块的FPGA,存储模块实时存储数据并检测比较器的输出,在系统完全断电前存储Flash的地址信息,达到多次上电存储的目的,通过大量上电掉电实验得知,该系统可以满足按上电次数存储的需求,为记录器的设计提供了一种新的思路。  相似文献   
4.
为了充分利用USB2.0的带宽,解决数据传输时存在的速度瓶颈问题,提出了一种基于CY7C68013A的USB2.0高速接口设计方法。采用CY7C68013A的SLAVE FIFO工作模式,芯片内部CPU不参与数据传输,FPGA设计的外部控制电路直接读写芯片内部FIFO,有效避免了内部CPU参与数据传输时带来的时间开销,从而提高了传输速度。  相似文献   
5.
孟令军  崔超 《中外电器》2014,(24):46-46
信息技术在学科教学中的广泛应用,大大提高了课堂教学效率。作为新时代的体育教师,要主动适应社会发展需求,将信息技术作为一种现代化教学手段,提高体育课堂教学效率。本文结合职业学校体育教学实际,对信息技术与体育教学的整合进行了研究。  相似文献   
6.
提出了一种基于NiosⅡ处理器的瓦斯监测仪的设计实现方法,主要介绍了NiosⅡ处理器系统的构成,以及该系统的工作原理。实验证明,该设计方法具有结构简单,处理速度快等优点,因而具有很好的推广价值。  相似文献   
7.
论述高层建筑玻璃幕墙设计方法   总被引:1,自引:0,他引:1  
孟令军 《城市建筑》2013,(24):43-43
幕墙技术以其实用性和美观性在建筑工程中得到广泛的使用,本文首先分析了高层建筑玻璃幕墙设计时应该考虑的问题,接着重点分析了高层建筑玻璃幕墙设计,最后总结了保证建筑玻璃幕墙设计质量的措施,希望能够为高层建筑幕墙设计提供的借鉴。  相似文献   
8.
介绍了以定时为主装卸载控制系统的工作原理。  相似文献   
9.
用FT245BM实现FPGA与PC机的数据无缝传输   总被引:2,自引:0,他引:2  
用FTDI公司的FT245BMUSB芯片,成功实现了FPGA与PC机之间的USB通讯.提供了该芯片与可编程逻辑器件FPGA硬件连接顶层文件,给出了其功能仿真图,用SignalTap II嵌入式逻辑分析仪实现了设计的实时可视性,直观地证实了:此设计USB数据传输速度快,操作简单,取得了较好的效果.  相似文献   
10.
基于FPGA的LVDS高速差分接口应用   总被引:6,自引:2,他引:4  
LVDS技术具有低电压、低功耗和高速传输等特点。本文给出了FPGA的LVDS接口的电路设计方法,采用FPGA实现数据并/串转换,并通过DDR双倍数据率技术进一步提高了数据传输速率及系统实时处理能力,为具有一定传输距离的设备间的互联提供了高速可靠的传输方案。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号