首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   42385篇
  免费   4924篇
  国内免费   2973篇
工业技术   50282篇
  2024年   138篇
  2023年   596篇
  2022年   886篇
  2021年   1139篇
  2020年   1223篇
  2019年   1077篇
  2018年   1035篇
  2017年   1722篇
  2016年   1906篇
  2015年   2394篇
  2014年   3254篇
  2013年   2903篇
  2012年   3831篇
  2011年   4090篇
  2010年   2816篇
  2009年   2971篇
  2008年   2951篇
  2007年   3106篇
  2006年   2485篇
  2005年   1796篇
  2004年   1404篇
  2003年   1216篇
  2002年   914篇
  2001年   778篇
  2000年   673篇
  1999年   511篇
  1998年   448篇
  1997年   540篇
  1996年   334篇
  1995年   284篇
  1994年   227篇
  1993年   161篇
  1992年   137篇
  1991年   107篇
  1990年   81篇
  1989年   47篇
  1988年   29篇
  1987年   5篇
  1986年   5篇
  1985年   5篇
  1984年   12篇
  1983年   14篇
  1982年   3篇
  1981年   7篇
  1980年   8篇
  1979年   2篇
  1977年   2篇
  1959年   1篇
  1955年   1篇
  1951年   1篇
排序方式: 共有10000条查询结果,搜索用时 15 毫秒
81.
介绍了选针片式小提花横机常见选针故障的各种原因,并对不同原因导致的选针故障提出了针对性解决方法。  相似文献   
82.
一种新型智能光纤传感器的研制与应用   总被引:5,自引:2,他引:3  
光纤传感技术是一种新型的传感技术,目前已受到国内外广泛重视而得到高速发展。光纤液位变送器具有高精度、高可靠性,不受电磁干扰等优点,特别是在防爆要求非常高的油气集输领域,它的无电检测、光信号传输完全消除了不安全的因素,为易燃易爆场所提供了安全可靠的检测仪器。  相似文献   
83.
A 320×240 CMOS image sensor is demonstrated,which is implemented by a standard 0.6 μm 2P2M CMOS process.For reducing the chip area,each 2×2-pixel block shares a sample/hold circuit,analog-to-digital converter and 1-b memory.The 2×2 pixel pitch has an area of 40 μm×40 μm and the fill factor is about 16%.While operating at a low frame rate,the sensor dissipates a very low power by power-management circuit making pixel-level comparators in an idle state.A digital correlated double sampling,which eliminates fixed pattern noise,improves SNR of the sensor, and multiple sampling operations make the sensor have a wide dynamic range.  相似文献   
84.
本文分析了相控阵机载预警(AEW)雷达杂波抑制的现有两种辅助通道降维技术(Klemm方法和简化方法)的性能,并提出了一种新的辅助通道方法。新方法的基本思想为:根据待处理距离段内各距离单元杂波能量在波束一多普勒域分布的统计特征自适应地选取辅助通道,使所选辅助通道实时地逼近杂波子空间,从而有效抑制杂波。本文方法不但可以用于非正侧视阵情况,而且误差鲁棒性好。计算机仿真结果证实了其有效性。  相似文献   
85.
In many applications electronic sensors are used toimprove performance and reliability of measurement systems. Suchsensors should provide a correct transfer from the physical signalto be measured to the electrical output signal. One importantstep to achieve this, is to calibrate each sensor by applyingdifferent reference input signals and adjusting the sensor transferaccordingly. Besides expensive reference equipment the calibrationprocess takes much time and attention per individual sensor,which means a considerable increase in sensor production costs.By including at the sensor or sensor interface chip a programmablecalibration facility the calibration of such smart sensors caneasily be automated and can be executed for a batch of sensorsat a time, thus minimizing the calibration time and costs. Thispaper presents a calibration method and options for integrationin the smart sensor concept, in hardware as well as in software.An advantage of the proposed method is that it does not needa large matrix of calibration data, which needs to be storedin a look-up table or converted into a correction formula, butinstead it uses a step-by-step approach to correct the sensortransfer at each calibration measurement until the error is sufficientlysmall.  相似文献   
86.
报道了硝酸老化处理方法对MgCr_2O_4—Bi_2O_3陶瓷湿敏元件的结构和性能的影响。实验结果表明,硝酸老化处理不仅是一种可行的造孔方法,而且对元件的力学和电学性能有重要影响。用浓度适宜的硝酸处理后,会得到性能较好的元件。  相似文献   
87.
PSD激光直线度测量仪   总被引:2,自引:0,他引:2  
介绍了采用PSD传感器设计的激光直线度测量的工作原理,以及激光器、光靶和计算机系统的设计。  相似文献   
88.
Artificial retina chips which can simultaneously sense and process real world images are described. The comparison between artificial retinal systems and conventional image processing systems is described. Variable sensitivity photodetection, which is an essential technology for the artificial retina chips, is introduced in detail. The concept, structure, fundamental performance, operating principle, and processing functions for the fabricated artificial retinal chips are described. Applications including interactive games by gesture-input are also introduced. This work was presented, in part, at the International Symposium on Artificial Life and Robotics, Oita, Japan, February 18–20, 1996.  相似文献   
89.
介绍了替代进口梳棉机测厚传感器集成电路分立元件的电路图,工作原理及特点。一年多的实际运行证明,电路参数达到了设计要求。  相似文献   
90.
1IntrodctionThesdV8fltsg6Sofffi1CY0bCfldf山CY0ptlCS6fiS0f8f6lflt6llSltyffiDdU18tlflgsliddCtCCtlflg,simpletechnique,highrellabllltyandlowprice,thereformlcrobendfiberoptlcsensorIswidelyusedtomeasurementofstrain,stressandv比rationetc.Inpractice,thesensltlvltyofsensorIsaveryimportantparameter,andthesensltlvltyofmlcrobendf山eroptlcsensorIsmainlyrelat-6dtofoil0WlflgthY66f8CtofS[‘-:ffilCfob6fldsffiplltlld6:fillffib6yofthlCY0b6fldS6Ct10llSsfldffil-crobendperiod,andmlcrobendperio…  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号