首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 171 毫秒
1.
基于FPGA的光电编码器四倍频电路设计   总被引:3,自引:0,他引:3  
钞靖  王小椿  姜虹 《仪表技术》2007,(6):17-18,21
分析光电编码器四倍频原理,提出了一种基于可编程逻辑器件FPGA对光电编码器输出信号倍频、鉴相、计数的具体方法,有利于提高被控对象的测量精度和控制精度。  相似文献   

2.
基于CPLD的光电编码器测量系统   总被引:2,自引:1,他引:1  
为了提高光电编码器的反馈精度和消除正交波形中的抖动,提出了一种基于复杂可编程逻辑器件且具有倍频鉴相和滤波功能的光电编码器测量系统。介绍了光电编码器测量原理,将系统划分为滤波鉴相、倍频、计数3个模块,并对这3个模块进行了电路设计和仿真。仿真结果表明,该设计方法能够满足高精度伺服电机正交编码的信号处理要求。  相似文献   

3.
基于CPLD芯片,对增量式编码器输出信号进行处理,实现了编码器信号的整形滤波、倍频和鉴相。为了提高编码器的测量精度,设计了一种4倍频脉冲输出电路,提高了编码器的分辨率,并设计了鉴相电路,实现正反向的角度或速度测量。文中给出了Quartus原理图输入电路、时序仿真结果,以及试验测试结果。文中的研究在角度位移等测量领域有着广泛应用价值。  相似文献   

4.
针对用于位置与速度反馈测量的光电编码器信号的特点,介绍几种实用的倍频鉴相电路与防微振计数电路,同时介绍干扰信号检测与剃除的方法,它对提高编码器分辨率与实现高精度、高稳定性的信号检测及位置伺服控制具有一定的现实意义。  相似文献   

5.
基于CPLD的四倍频鉴相计数电路在运动控制器中的应用   总被引:1,自引:0,他引:1  
介绍了运动控制器中伺服交流电动机四倍频、鉴相、计数的原理以及使用EPM3256A进行四倍频、鉴相、计数的方法,并在MAX+plush环境下进行了VHDL编程和仿真。  相似文献   

6.
李君  张波  刘品宽  丁汉 《机电一体化》2012,19(2):58-61,65
运动控制系统多数采用增量式光电编码器作为位置与速度的反馈测量元件。针对该编码信号特点,利用FPGA内部丰富的逻辑模块和IO模块灵活的可编程性,设计增量式编码器的接口电路,使之具有倍频、鉴相、计数等功能,并分析仿真结果验证设计的可行性,提高编码器的分辨率,进而实现高精度的位置伺服控制。  相似文献   

7.
基于单片机的智能型光电编码器计数器   总被引:2,自引:0,他引:2  
介绍了一种对光电编码器的输出脉冲进行处理的方法,给出了基于单片机的集倍频,鉴向,计数于一体的智能型计数器原理及其硬件电路和软件的设计。  相似文献   

8.
介绍了基于ARM单片机和CPLD的电动装置转速和转矩测试仪的设计方法,给出了光电编码器输出脉冲信号的四倍频鉴向相电路的CPLD实现方法。  相似文献   

9.
增量式光电脉冲编码器的单片机计数器   总被引:6,自引:0,他引:6  
文中重点讨论基于单片机的光电脉冲编码器计数器的软件倍频和辨向原理,并从编码盘条纹和位置检测元件的空间分布原理出发给出了在编码器输出A、B正交方波的前提下最多只能4 倍频的结论,最后介绍了集倍频、辨向、计数于一体的单片机计数器原理,该计数器具有消除抖动误计数、倍频数可选、计数长度无限制的特点。  相似文献   

10.
韩巍 《仪表技术》1995,(1):28-29,33
主要介绍两个方面的内容:一是单片机与光电脉冲编码器的硬件接口,即滤波整形电路与鉴相电路。二是单片机对光电脉冲编码器信号的软件编程处理方法,即计数、运算、溢出处理。  相似文献   

11.
针对传统的光电编码器角度测量方法无法满足自驱动关节臂坐标测量机角度高精度实时测量的问题,设计了基于FPGA组合设计方法的光电编码器角度实时测量系统,由原理图输入设计的抗扰动模块、Verilog HDL语言设计的四倍频辨相计数模块、Qsys搭建的数据传输模块和Visual Studio设计的软件模块组成。通过与数字采样频率为1 MHz的16位USB-6229数据采集卡同步对比实验结果表明,在相同条件下,FPGA与数据采集卡同时测量光电编码器的数据完全吻合,因此设计的光电编码器角度实时测量系统可以直接运用于自驱动关节臂坐标测量机的关节角度测量。  相似文献   

12.
介绍了一种已获取发明专利的相序测定计数方法,以Atmel89S52单片机为基础,通过编码器的输出脉冲A和其反向脉冲A-产生中断,在中断服务程序中读取脉冲A、A-及与A脉冲相位差为90°的脉冲B的电平并与已在E2PROM中储存的状态字进行比较,由此来确定旋转方向并对脉冲进行加减计数。同时,该文也介绍了相应的硬件电路和软件程序。该方法在实际应用中的测试表明,当编码器频繁改变旋转方向时仍具有很高的测量准确度并能有效地抑制脉冲干扰信号。  相似文献   

13.
手摇脉冲发生器鉴相及脉冲计数的软件实现   总被引:2,自引:0,他引:2  
介绍手摇脉冲发生器的工作原理,详细讨论了用软件方式实现手摇脉冲发生器鉴相和脉冲计数的基本方法,给出了上位控制程序以及下层中断服务程序的流程图。  相似文献   

14.
随着工业自动化程度和性能的提高,编码器作为速度、位置、角度或计数的传感器应用范围越来越广。主要介绍编码器在黄骅港三期翻卸系统中的应用,其中包括增量式编码器与变频器之间的网络架构、绝对值编码器与DNB模块之间的连接方式,并提出一种改进的PLC编码器数值监控算法。  相似文献   

15.
基于FPGA的高精度光栅计数卡   总被引:4,自引:3,他引:4  
设计光栅计数卡的关键问题是对光栅脉冲进行倍频以及对倍频后的脉冲进行计数。详细分析光栅尺AB两相信号的状态变化特征,通过比较这两相信号在其1/4周期内的状态变化情况,将比较结果作为计数判据,设计出稳定的四倍频计数方案,并给出基于附实现的方法。这种方案的主要优势在于倍频和计数操作不是通过信号的边沿触发,而是通过对信号的状态进行采样和比较来实现。所设计的光栅计数卡应用于影像式精密测绘仪中,取得了很好的效果。  相似文献   

16.
Based on the evaluation of advantages and disadvantages of high-precision digital time interval measuring algorithms, and combined with the principle of the typical time-difference ultrasonic flow measurement,the requirements far the measurement of echo time of flight put forward by the ultrasonic flow measurement are an-alyzed.A new high-precision time interval measurement algorithm is presented, which combines the pulse counting method with the phase delay interpolation. The pulse counting method is used to ensure a large dynamic measuring range, and a double-edge triggering counter is designed to improve the accuracy and reduce the counting quantiza-tion error.The phase delay interpolation is used to reduce the quanti-zation error of pulse counting for further improving the time measure-ment resolution.Test data show that the system for the measurement of the ultrasonic echo time of flight based on this algorithm and im-plemented on an Field Programmable Gate Array(FPGA) needs a rel-atively short time for measurement,and has a measurement error of less than 105 ps.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号