首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The objective of this work was to investigate the improvement in performance of dye sensitized solar cells (DSSCs) by depositing ultra thin metal oxides (hafnium oxide (HfO2) and aluminum oxide (Al2O3)) on mesoporous TiO2 photoelectrode using atomic layer deposition (ALD) method. Different thicknesses of HfO2 and Al2O3 layers (5, 10 and 20 ALD cycles) were deposited on the mesoporous TiO2 surface prior to dye loading process used for fabrication of DSSCs. It was observed that the ALD deposition of ultrathin oxides significantly improved the performance of DSSCs and that the improvement in the DSSC performance depends on the thickness of the deposited HfO2 and Al2O3 films. Compared to a reference DSSC the incorporation of a HfO2 layer resulted in 69% improvement (from 4.2 to 7.1%) in the efficiency of the cell and incorporation of Al2O3 (20 cycles) resulted in 19% improvement (from 4.2 to 5.0%) in the efficiency of the cell. These results suggest that ultrathin metal oxide layers affect the density and the distribution of interface states at the TiO2/organic dye and TiO2/liquid electrolyte interfaces and hence can be utilized to treat these interfaces in DSSCs.  相似文献   

2.
Low-temperature atomic layer deposition (ALD) processes are intensely looked for to extend the usability of the technique to applications where sensitive substrates such as polymers or biological materials need to be coated by high-quality thin films. A preferred film orientation, on the other hand, is often required to enhance the desired film properties. Here we demonstrate that smooth, crystalline ZnO thin films can be deposited from diethylzinc and water by ALD even at room temperature. The depositions were carried out on Si(100) substrates in the temperature range from 23 to 140 °C. Highly c-axis-oriented films were realized at temperatures below ~ 80 °C. The film crystallinity could be further enhanced by post-deposition annealing under O2 or N2 atmosphere at 400-600 °C while keeping the original film orientation intact.  相似文献   

3.
The microstructure of thin HfO2-Al2O3 nanolaminate high κ dielectric stacks grown by atomic vapor deposition has been studied by attenuated total reflection spectroscopy (ATR) and 8 eV spectroscopic ellipsometry (SE). The presence of Al2O3 below HfO2 prevents the crystallisation of HfO2 if an appropriate thickness is used, which depends on the HfO2 thickness. A thicker Al2O3 is required for thicker HfO2 layers. If crystallisation does occur, we show that the HfO2 signature in both ATR and 8 eV SE spectra allows the detection of monoclinic crystallites embedded in an amorphous phase.  相似文献   

4.
High performance self-aligned top-gate zinc oxide (ZnO) thin film transistors (TFTs) utilizing high-k Al2O3 thin film as gate dielectric are developed in this paper. Good quality Al2O3 thin film was deposited by reactive DC magnetron sputtering technique using aluminum target in a mixed argon and oxygen ambient at room temperature. The resulting transistor exhibits a field effect mobility of 27 cm2/V s, a threshold voltage of − 0.5 V, a subthreshold swing of 0.12 V/decade and an on/off current ratio of 9 × 106. The proposed top-gate ZnO TFTs in this paper can act as driving devices in the next generation flat panel displays.  相似文献   

5.
Thin aluminum oxide coatings have been deposited at a low temperature of 80 °C on various uncoated papers, polymer-coated papers and boards and plain polymer films using the atomic layer deposition (ALD) technique. The work demonstrates that such ALD-grown Al2O3 coatings efficiently enhance the gas-diffusion barrier performance of the studied porous and non-porous materials towards oxygen, water vapor and aromas.  相似文献   

6.
Manganese oxide (MnO) atomic layer deposition (ALD) was accomplished using sequential exposures of bis(ethylcyclopentadienyl)manganese (Mn(CpEt)2) and H2O. Rutherford backscattering analysis revealed a nearly 1:1 atomic ratio for Mn:O in the MnO ALD films. X-ray diffraction determined that the films were crystalline and consistent with the cubic phase of MnO. Quartz crystal microbalance (QCM) measurements monitored the mass deposition rate during MnO ALD and verified self-limiting reactions for each reactant. Extremely efficient reactions were observed that required reactant exposures of only 3 × 104 L (1 L = 1.33 × 10− 4 Pa s). X-ray reflectivity (XRR) studies were used to confirm the QCM measurements and determine the film density and film thicknesses. The MnO ALD film density was 5.23 g/cm3. The growth per cycle was investigated from 100-300 °C. The largest MnO ALD growth per cycle was 1.2 Å/cycle at 100 °C and the growth per cycle decreased at higher temperatures. Transmission electron microscopy images observed the conformality of MnO films on ZrO2 nanoparticles and confirmed the growth per cycle observed by the XRR studies. Fourier transform infrared spectroscopy was used to study the -CpEt? and -OH? surface species during MnO ALD and also monitored the bulk vibrational modes of the growing MnO films. The results allowed a growth mechanism to be established for MnO ALD using Mn(CpEt)2 and H2O. Only 54% of the Mn sites are observed to retain the -CpEt? surface species after the Mn(CpEt)2 exposure. Efficient MnO ALD using Mn(CpEt)2 and H2O should be useful for a variety of applications where metal oxides are required that can easily change their oxidation states.  相似文献   

7.
S.J. Lim 《Thin solid films》2008,516(7):1523-1528
Recently, the application of ZnO thin films as an active channel layer of transparent thin film transistor (TFT) has become of great interest. In this study, we deposited ZnO thin films by atomic layer deposition (ALD) from diethyl Zn (DEZ) as a metal precursor and water as a reactant at growth temperatures between 100 and 250 °C. At typical growth conditions, pure ZnO thin films were obtained without any detectable carbon contamination. For comparison of key film properties including microstructure and chemical and electrical properties, ZnO films were also prepared by rf sputtering at room temperature. The microstructure analyses by X-ray diffraction have shown that both of the ALD and sputtered ZnO thin films have (002) preferred orientation. At low growth temperature Ts ≤ 125 °C, ALD ZnO films have high resistivity (> 10 Ω cm) with small mobility (< 3 cm2/V s), while the ones prepared at higher temperature have lower resistivity (< 0.02 Ω cm) with higher mobility (> 15 cm2/V s). Meanwhile, sputtered ZnO films have much higher resistivity than ALD ZnO at most of the growth conditions studied. Based upon the experimental results, the electrical properties of ZnO thin films depending on the growth conditions for application as an active channel layer of TFT were discussed focusing on the comparisons between ALD and sputtering.  相似文献   

8.
Zinc oxide (ZnO) was incorporated into metal-insulator-semiconductor (MIS) structures featuring high dielectric constant (high-κ) barium tantalate (BaTa2O6)or alumina (Al2O3)as the insulator, and the structures were electrically evaluated for potential applications in transparent thin film transistors. The ZnO films were deposited by radio-frequency magnetron sputtering at 100 °C whereas the dielectric films were deposited by the same method at room temperature. The leakage currents of both the BaTa2O6 and Al2O3 structures were on the order of 10−7A/cm2. The trap density and trapped charge concentration at the BaTa2O6/ZnO interface were determined to be 6.18 × 1011 eV−1 cm−2and 5.82 × 1011 cm−2 from conductance-voltage and capacitance-voltage measurements. At the Al2O3/ZnO interface the trap density and trapped charge were more than an order of magnitude smaller at 1.09 × 1010 eV−1 cm−2and 1.04 × 1010 cm−2 respectively. The BaTa2O6 structures had significantly larger frequency dispersions due to the larger number of interface traps. Chemical analysis using X-ray photoelectron spectroscopy with depth profiling indicates that acceptor type defects associated with a deficiency of oxygen are related to the observed electron trapping in the BaTa2O6MIS structure. Overall, the results indicate that Al2O3 would be better suited for transparent thin film transistors deposited at low temperature or without substrate heating.  相似文献   

9.
We have investigated the effects of annealing temperature on the physical and electrical properties of the HfO2 film deposited by an atomic layer deposition (ALD) method for high-k gate oxides in thin-film-transistors (TFTs). The ALD deposition of HfO2 directly on the Si substrate at 300 °C results in the formation of thin HfSixOy interfacial layer between Si and HfO2. The subsequent low temperature N2-annealing of HfO2 films (i.e., 300 °C) using a rapid thermal processor (RTP) improves the overall electrical characteristics of HfSixOy-HfO2 films. Based on the current work, we suggest that HfO2 film deposited by the ALD method is suitable for high-k gate oxides in TFTs, which have to be fabricated at low temperature.  相似文献   

10.
One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O2TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O2TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.  相似文献   

11.
Ru thin films were grown on hydrogen terminated Si, SiO2, Al2O3, HfO2, and TiO2 surfaces by atomic layer deposition from bis(2,5-dimethylpyrrolyl)ruthenium precursor and oxygen. The 4-20 nm thick films on these surfaces consisted of nanocrystalline hexagonal metallic ruthenium, regardless of the deposition temperature. At the lowest temperatures examined, 250-255 °C, the growth of the Ru films was favored on silicon, compared to the growth on Al2O3, TiO2 and HfO2. At higher temperatures the nucleation and growth of Ru became enhanced in particular on HfO2, compared to the process on silicon. At 320-325 °C, no growth occurred on Si-H and SiO2-covered silicon. Resistivity values down to 18 μΩ·cm were obtained for ca. 10 nm thick Ru films.  相似文献   

12.
Metal-insulator-semiconductor capacitors were fabricated with sputtered ZnO and atomic layer deposited HfO2 as the semiconductor and gate dielectric layers, respectively. From the capacitance-voltage measurements, it was confirmed that pre-deposition annealing of the sputtered ZnO layer at 300 °C in air greatly decreased the interfacial trap density (∼ 2 × 1012 cm− 2 eV− 1). X-ray photoelectron spectroscopy showed a decrease in the OH bonds adsorbed on the ZnO surface after pre-deposition annealing, which improved the interface property. A very small capacitance equivalent thickness of 1.3 nm was achieved, which decreased the operation voltage (< 5 V) of the device significantly.  相似文献   

13.
A systematic study of the influence of alumina (Al2O3) doping on the optical, electrical, and structural characteristics of sputtered ZnO thin films is reported in this study. The ZnO thin films were prepared on 1737F Corning glass substrates by R.F. magnetron sputtering from a ZnO target mixed with Al2O3 of 0-4 wt.%. X-ray diffraction (XRD) analysis demonstrates that the ZnO thin films with Al2O3 of 0-4 wt.% have a highly (002) preferred orientation with only one intense diffraction peak with a full width at half maximum (FWHM) less than 0.5°. The electrical properties of the Al2O3-doped ZnO thin films appear to be strongly dependent on the Al2O3 concentration. The resistivity of the films decreases from 74 Ω·cm to 2.2 × 10− 3 Ω·cm as the Al2O3 content increases from 0 to 4 wt.%. The optical transmittance of the Al2O3-doped ZnO thin films is studied as a function of wavelength in the range 200-800 nm. It exhibits high transparency in the visible-NIR wavelength region with some interference fringes and sharp ultraviolet absorption edges. The optical bandgap of the Al2O3-doped ZnO thin films show a short-wavelength shift with increasing of Al2O3 content.  相似文献   

14.
Thin HfO2 films were grown as high-k dielectrics for Metal-Insulator-Metal applications by Atomic Vapor Deposition on 8 inch TiN/Si substrates using pure tetrakis(ethylmethylamido)hafnium precursor. Influence of deposition temperature (320-400 °C) and process pressure (2-10 mbar) on the structural and electrical properties of HfO2 was investigated. X-ray diffraction analysis showed that HfO2 layers, grown at 320 °C were amorphous, while at 400 °C the films crystallized in cubic phase. Electrical properties, such as capacitance density, capacitance-voltage linearity, dielectric constant, leakage current density and breakdown voltage are also affected by the deposition temperature. Finally, TiN/HfO2/TiN stacks, integrated in the Back-End-of-Line process, possess 3 times higher capacitance density compared to standard TiN/Si3N4/TiN capacitors. Good step coverage (> 90%) is achieved on structured wafers with aspect ratio of 2 when HfO2 layers are deposited at 320 °C and 4 mbar.  相似文献   

15.
The emergence of memristive behavior in amorphous–crystalline 2D oxide heterostructures, which are synthesized by atomic layer deposition (ALD) of a few-nanometer amorphous Al2O3 layers onto atomically thin single-crystalline ZnO nanosheets, is demonstrated. The conduction mechanism is identified based on classic oxygen vacancy conductive channels. ZnO nanosheets provide a 2D host for oxygen vacancies, while the amorphous Al2O3 facilitates the generation and stabilization of the oxygen vacancies. The conduction mechanism in the high-resistance state follows Poole–Frenkel emission, and in the the low-resistance state is fitted by the Mott–Gurney law. From the slope of the fitting curve, the mobility in the low-resistance state is estimated to be ≈2400 cm2 V−1 s−1, which is the highest value reported in semiconductor oxides. When annealed at high temperature to eliminate oxygen vacancies, Al is doped into the ZnO nanosheet, and the memristive behavior disappears, further confirming the oxygen vacancies as being responsible for the memristive behavior. The 2D heterointerface offers opportunities for new design of high-performance memristor devices.  相似文献   

16.
The growth, composition and morphology of HfO2 films that have been deposited by atomic layer deposition (ALD) are examined in this article. The films are deposited using two different ALD chemistries: i) tetrakis ethylmethyl amino hafnium and H2O at 250° and ii) tetrakis dimethyl amino hafnium and H2O at 275 °C. The growth rates are 1.2 Å/cycle and 1.0 Å/cycle respectively. The main impurities detected both by X-ray Photoelectron Spectroscopy and Fourier transform infrared spectroscopy (FTIR) are bonded carbon (~ 3 at.%) and both bulk and terminal OH species that are partially desorbed after high temperature inert anneals up to 900 °C. Atomic Force Microscopy reveals increasing surface roughness as a function of increasing film thickness. X-ray diffraction shows that the morphology of the as-deposited films is thickness dependent; films with thickness around 30 nm for both processes are amorphous while ~ 70 nm films show the existence of crystallites. These results are correlated with FTIR measurements in the far IR region where the HfO2 peaks are found to provide an easy and reliable technique for the determination of the crystallinity of relatively thick HfO2 films. The index of refraction for all films is very close to that for bulk crystalline HfO2.  相似文献   

17.
A reactive solid-phase epitaxy (R-SPE) method combines deposition of a thick amorphous or polycrystalline layer with a desired chemical composition and post-deposition solid-phase epitaxial growth. The solid-phase epitaxial growth is invoked by thermal annealing with an assistance of a sacrificial layer working as an epitaxial template. Thereby it enables us to grow high-quality epitaxial films of complex oxides whose epitaxial films are not grown by conventional high-temperature growth techniques. It was reported that 2-nm-thick ZnO layers worked as template for growing InGaO3(ZnO)m (m = integer) epitaxial films. The present study extended the R-SPE technique to growth of various complex oxides with chemical compositions of RAO3(MO)m and to use of various epitaxial template layers. We found that mono oxide epitaxial layers such as In2O3 and Ga2O3 work as template layers as well. Alternatively, a ZnO epitaxial layer is also applicable to ZnO-free compounds. The films obtained were grown heteroepitaxially on YSZ(111) and single-crystalline when the fabrication conditions are optimized.  相似文献   

18.
Atomic layer deposition (ALD) techniques were used to fabricate W/Al2O3 superlattices with high X-ray reflectivity on flexible Kapton® polyimide and polyethylene naphthalate (PEN) polymer substrates. Reflectivities of 78% and 74% at λ = 1.54 Å were measured for 6-bilayer W/Al2O3 superlattices on Kapton® polyimide and PEN, respectively. These excellent X-ray reflectivities are attributed to precise bilayer thicknesses and ultrasmooth interfaces obtained by ALD and smoothing of the initial polymer surface by an Al2O3 ALD layer. The conformal ALD film growth also produces correlated roughness that enhances the reflectivity. These W/Al2O3 superlattices on flexible polymers should be useful for ultralight and adjustable radius of curvature X-ray mirrors.  相似文献   

19.
Palladium (Pd) atomic layer deposition (ALD) can be performed with Pd(hfac)2 (hfac = hexafluoroacetyl-acetone) and formalin as the reactants. For Pd ALD on oxide surfaces, the nucleation of Pd ALD has been observed to require between 20 and 100 ALD cycles. To understand the long nucleation periods, this study explored the surface reactions occurring during Pd ALD nucleation and growth on hydroxylated Al2O3 substrates. In situ Fourier transform infrared (FTIR) spectroscopy on high surface area nanopowders was used to observe the surface species. The adsorption of Pd(hfac)2 on hydroxylated Al2O3 substrates was found to yield both Pd(hfac)* and Al(hfac)* surface species. The identity of the Al(hfac)* species was confirmed by separate FTIR studies of hfacH adsorption on the hydroxylated Al2O3 substrates. Isothermal loss of the Al(hfac)* species revealed second-order kinetics at 448-523 K with an activation barrier of Ed = 39.4 kcal/mol. The lack of correlation between Al(hfac)* and AlOH* species during the loss of Al(hfac)* species suggested that the Al(hfac)* species may desorb as Al(hfac)3. After Pd(hfac)2 exposure and the subsequent formalin exposure on hydroxylated Al2O3 substrates, only hfac ligands from Pd(hfac)* species were removed from the surface. In addition, the formalin exposure added formate species. The Al(hfac)* species was identified as the cause of the long nucleation period because Al(hfac)* behaves as a site blocker. The surface poisoning by Al(hfac)* species was corroborated by adsorbing hfacH prior to the Pd(hfac)2 exposures. The amount of Pd(hfac)* species after Pd(hfac)2 exposures decreased progressively versus the previous hfacH exposure. Pd ALD occurred gradually during the subsequent Pd ALD cycles as the Al(hfac)* species were slowly removed from the Al2O3 surface. Ex situ transmission electron microscopy analysis revealed Pd nanoclusters that grew in size and dispersion with increasing number of Pd ALD cycles. These nanoclusters eventually coalesced to form a continuous Pd ALD film. Surface poisoning by the hfac ligands may help to explain the nucleation difficulties for metal ALD on oxide substrates using β-diketonate reactants.  相似文献   

20.
An all-solution processed metal-oxide-semiconductor (MOS) capacitor structure containing gold (Au) nanoparticles (NPs) within HfO2 high-κ oxide was fabricated. The ultra-thin (~ 10 nm) HfO2 high-κ tunnel oxide layer was prepared by sol-gel process and showed good electrical properties, which were critical to superior memory property of the MOS structure. Au NPs with particle size of about 3.3 nm were synthesized by chemical reduction method and then self-assembled onto HfO2 tunnel oxide. Finally, a Si/HfO2/Au NPs/HfO2 memory structure was constructed after the substrate had been covered with a sol-gel-derived HfO2 control oxide layer (~ 13 nm). By utilizing high-quality HfO2 as tunnel oxide, the MOS structure containing Au NPs showed memory effect even at a low voltage of ± 3 V. Although its memory window was only 0.8 V by a swapping voltage between ± 5 V, the MOS showed desirable retention characteristics. Therefore, we have fabricated nanocrystal memory device with sol-gel derived HfO2 high-k tunnel oxide which are attractive for low operation voltage non-volatile memory applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号