首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 718 毫秒
1.
本文提出了半导体激光器恒温控制器的GPIB标准接口的设计方案.GPIB接口属于8位并行通用接口,广泛应用于智能测量仪器的程控功能.本文介绍一种GPIB接口的硬件电路及软件设计方法,硬件电路主要是由能与微处理器直接相连的专用接口芯片NAT9914构成.  相似文献   

2.
应用NAT9914实现GPIB接口讲者器件的方法   总被引:1,自引:1,他引:0  
介绍了一种利用能与微处理器直接相连的专用接口芯片NAT9914构成GPIB接口的硬件电路 ,同时给出了为实现GPIB接口而对其进行初始化的程序流程图及程序 ,最后介绍了实现讲功能的软件编程。  相似文献   

3.
该文提出一种在RS-232串行接口总线和GPIB通用接口总线之间实现双向数据通信的方案。在该通信方案设计中,单片机PIC18F4620作为控制单元,完成上述两种总线数据的处理及相互转换;TNT4882作为GP1B专用接口芯片,完成GPIB信号的编译与解码;MAX232用于5VTTL/CMOS电平与12VTIA/EIA232电平之间的相互转换。文中介绍了RS-232总线和GPIB总线的特点及应用;讨论了转换接口的硬件构成及电路原理;阐述了接口电路的软件设计过程。  相似文献   

4.
GPIB接口在电磁兼容自动测量系统中的应用   总被引:2,自引:0,他引:2  
本文介绍了GPIB接口的结构功能及其发展.详细讨论了用GPIB接口实现EMC自动测试系统的硬件连接和软件设计。  相似文献   

5.
鄢仁辉  许秀英 《福建电脑》2012,28(12):125-127
为满足射频功率校准系统的需求,本文利用NAT9914芯片设计了一种以sharplh79520为核心的射频功率校准系统的GPIB接口电路.并详细讨论了GPIB接口功能的软件实现方法,基于此种方法设计的GPIB接口卡已成功应用于射频功率校准系统中,取得了良好的效果。  相似文献   

6.
在进行基于GPIB总线接口的无线电信号监测软件的设计中,利用C 设计了GPIB接口卡,以增强系统对硬件接口的适应性和扩展性。  相似文献   

7.
微机并行接口在配有IEEE488接口的智能仪器设备中的应用   总被引:1,自引:0,他引:1  
本文介绍了一种利用微机并行接口模拟实现IEEE488接口功能的扩展接口电路。与GPIB卡比较,该电路结构简单、成本低廉,具有较高的实用价值。  相似文献   

8.
GPIB是国际上广泛采用的自动测试系统的“通用接口母线”,在智能测试、智能控制,组成实时数据采集及控制微机网络方面起着重要的作用。本文介绍一个可编程控制接口装置的硬件设计,以及在此基础上配置GPIB软接口的设计方法。本装置的成功实现,将为各种型号的微机系统,以GPIB为通用母线,提供通用的控制接口,并进而构成GPIB自动测试及控制系统。  相似文献   

9.
本文介绍了基于WindowsCE的PC104模块与基于MCS51单片机的GPIB接口构成自动测试仪器的实现方法,其中GPIB接口与单片机间的数据传输和PC104模块与单片机之间的串行通信是系统实现的关键。本文详细介绍了整个系统的软件与硬件设计。  相似文献   

10.
介绍GPIB专用接口芯片TNT4882,并使用传统的51单片机设计控制GPIB通信。介绍GPIB接口的硬件设计与TNT4882芯片的下位机控制程序,并以实际应用为目的,给出编程基本框架,列出关键代码。  相似文献   

11.
IEEE488作为一种通用的并行总线,凭借其低延时、可靠传输等优势,广泛应用于仪器控制与测试系统中;目前,符合IEEE488接口协议的专用GPIB芯片如NAT9914、uPD7210、MC68488等都已停产,在售GPIB控制器产品类型少且价格十分昂贵,难以满足国内市场需求;因此提出了一种基于FPGA技术来实现IEEE488接口协议的方案,以典型的GPIB接口芯片NAT9914为蓝本,使用Verilog语言描述GPIB接口功能,调用VISA虚拟仪器函数库开发驱动程序与设备通信,组建完整的自动测试系统,并通过SCPI指令对仪器进行程控、数据采集等操作;经测试,产品性能稳定,能满足实际应用需求,且成本较低,有一定的市场价值。  相似文献   

12.
应用NAT9914设计GPIB接口板的方法   总被引:3,自引:0,他引:3  
简要讲述了GPIB接口规范,对GPIB专用芯片NAT9914的结构及其工作原理进行了说明.我们使用NAT9914设计了一种用于程控仪器的GPIB接口板,并给出了GPIB接口板的程序设计流程.  相似文献   

13.
讨论了一种基于FPGA方案高速数据发生器的GPIB接口的功能测试方法,通过带ISA口的计算机、GPIB接口卡和若干台GPIB仪器组成GPIB测试系统,利用数字示波器、母线分析仪等仪器,进行听/讲功能测试、传输速率测试、地址测试、串查功能测试。测试结果证明该基于FPGA方案高速数据发生器的GPIB接口各项指标符合国标标准。该方法可靠易行,对仪器开发者有一定借鉴价值。  相似文献   

14.
本文阐述了在嵌入式Linux系统下设计GPIB设备的方法。利用已广泛应用的IEEE488(GPIB)总线协议,设计了基于ARM微处理器的GPIB板,并通过编写相应的驱动程序来实现一台GPIB仪器(设备)的功能,可以将USB接口的数字存储示波器转换成GPIB接口的示波器。相对于以往类似的设计有更好的扩展性和可移植性。  相似文献   

15.
基于GPIB接口总线的虚拟仪器   总被引:4,自引:3,他引:4  
本文介绍了虚拟仪器的GPIB总线接口技术计算机通过GPIB接口卡控制带有GPIB总线接口的电流源和电压表,构成了Ⅰ~Ⅴ曲线虚拟仪器测试系统。在Labview环境下完成了虚拟仪器前面板以及后台框图程序的设计.与硬件系统一起完成虚拟仪器的测试任务。  相似文献   

16.
本文介绍了基于GPIB接口实现控制开关的功能,使用51单片机控制GPIB专用接口芯片TNT4882。详细讲解了上位机、下位机的程序开发思路和原理,并给出了关键代码提供参考。  相似文献   

17.
In this paper, we introduced the technique of GPIB businterface. Through the controlling of the GPIB interface board,the computer controlled the current source and the voltmeter, both of which have GPIB bus interface, and a virtual instrument testing system for I~V curve was composed. The virtual instrument front panel and the background graphical control program in Labview environment accomplish virtual instrument testing task along with the hardware system.  相似文献   

18.
在研究GPIB等总线的特点及控制仪器的方法基础上,利用GPIB软硬件组合配置来完成GPIB总线接口仪器的通信,从而完成系统的自动化检定。系统操作简单、数据准确详实、检定报告完善,达到了检定要求和预期目标。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号