首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 771 毫秒
1.
全数字64QAM调制器的FPGA实现   总被引:1,自引:0,他引:1  
通过对QAM调制原理的分析,提出了一种基于FPGA全数字64QAM调制方案,该方案采用Verilog HDL硬件描述语言进行模块设计。文中对其主要模块进行了详细分析,并给出了各模块的仿真图,以正确实现全数字64QAM调制。  相似文献   

2.
基于FPGA的高阶QAM调制器的实现   总被引:1,自引:0,他引:1  
针对全数字正交幅度(QAM)调制技术在数字微波通信系统中的应用,以64QAM为例提出了一种全数字实现的调制系统结构方案,基于QuartusⅡ及Matlab软件开发平台,用原理图和Verilog HDL语言相结合的方法实现了高阶QAM调制器的各个模块的FPGA设计。由于256QAM与64QAM具有相同的矩形星座图,通过修改Verilog HDL程序可以适应256制式的QAM调制。  相似文献   

3.
基于FPGA的全数字64QAM调制解调器设计   总被引:1,自引:1,他引:1  
周媛  贾怀义 《电视技术》2007,31(Z1):40-42
针对QAM调制解调技术在有线电视领域的广泛应用,提出了一种基于FPGA的全数字64QAM调制解调方案,采用VHDL等硬件描述语言进行模块设计,给出各模块时序仿真图,并对系统中涉及到的定时同步问题进行阐述,最终正确实现64QAM全数字调制解调.  相似文献   

4.
本文详细介绍了一种新型QAM调制方法,该方法将QAM调制中的相位跳变改为连续变化。仿真实验表明,与普通的QAM调制相比,高次谐波分量大幅下降,同时误码率性能几乎不受影响,可以有效地提高频谱利用率。以FPGA器件为核心设计的连续相位QAM调制器,将绝大部分功能模块由大规模FPGA内部资源来实现,调制器中采用了双通道设计,成功实现了过渡区相位与主要区间相位的交替产生。  相似文献   

5.
简要介绍了星型16QAM调制原理,重点介绍了如何用大规模可编程逻辑器件FPGA实现16QAM数字调制系统的设计方法.该系统在QUARTUS Ⅱ环境下,利用Verilog HDL语言CYCLONE系列可编程芯片EP1C6Q240C8来实现整个设计,并在PCB板上进行了各功能验证.  相似文献   

6.
基于CORDIC算法的QAM调制器的FPGA实现   总被引:1,自引:1,他引:0  
正交振幅调制技术(QAM)作为一种频带利用率较高、误码率相对较低的调制方式,被定义为很多数字通信系统的数字传输标准.QAM调制的载波信号一般采用查找表的方法,为了达到高精度的要求,需要耗费大量的ROM资源,文中提出了一种基于流水线CORDIC的算法实现QAM调制,可有效节省硬件资源,提高运算速度,同时可以实现多制式的QAM调制.最后给出了该设计方案的仿真结果,仿真结果表明,QAM调制器能产生四种调制方式的QAM信号,性能良好,迭到设计的要求.  相似文献   

7.
正交幅度调制技术(QAM)是一种功率和带宽相对高效的信道调制技术,因此在信道调制技术中得到了广泛的应用。它的载波信号的FPGA实现一般采用查找表的方法,为了达到高精度要求,需要耗费大量的ROM资源。提出了一种基于流水线CORDIC算法的实现方案,可有效地节省FPGA的硬件资源,提高运算速度,并根据DSP开发工具DSP Builder的优点,采用VHDL文本与Simulink模型图相结合的方法进行了设计。仿真结果验证了设计的正确性及可行性。  相似文献   

8.
对FPGA实现多载波QAM进行了研究,提出最佳相位的多载波调制算法以提高各路子载波的输出功率,并设计出高精度、低复杂度的DDFS以实现符合最佳相位关系的子载波合成.仿真及测量结果表明,最佳相位的多载波QAM具有更优的输出信号质量和更少的逻辑资源消耗.  相似文献   

9.
根据16QAM的调制原理,提出一种采用现场可编程门阵列(FPGA)实现16QAM成形的FIR数字滤波器硬件电路的方案。该方案基于分布式算法的思想,利用FPGA丰富的查找表资源,从时域上对基带信号直接进行成形。因为所采用的成形方法运算量小、精度高,所以适用于实时系统。  相似文献   

10.
基于DSP Builder的通用调制信号发生器设计   总被引:3,自引:0,他引:3  
介绍了基于2ASK,2FSK,BPSK,MSK和64QAM等多种通用调制器的调制原理,并提出了一种基于DDS技术的调制方式.然后在DSP Builder上进行系统设计与仿真,经验证该系统可以成功实现多模式信号调制功能,并且具有较好的可扩展性和灵活性.最后用FPGA实现,并用SignalTapII进行硬件验证.  相似文献   

11.
实现了一种应用于矢量信号源中的新型IQ调制器。其中,硬件电路包括FPGA电路,滤波、放大、输出电路以及控制器电路,软件设计主要有基带信号发生器和基于改进型CORDIC算法的IQ调制。该调制器不但可以直接实现MASK,MFSK,MPSK,QPSK,MSK以及QAM等调制,还可以产生正交载波信号。仿真与实际测试结果证明了该设计的正确性和优越性。  相似文献   

12.
OFDM基带调制的目的是将高速的串行数据流转换成并行的低速数据流,再调制到频谱是正交重叠的子载波上进行传输,以便于提高频谱利用率。OFDM可以采用IFFT/FFT实现调制解调,在本设计中采用FPGA技术可以比较容易地实现OFDM通信系统的的调制器部分。整个系统包括RS编码、交织、QAM星座映射,IFFT和插入CP等模块,经过仿真验证:提高了系统的处理速度,具有较高的应用价值。  相似文献   

13.
针对数字基带信号的特点和通信系统中对数字信号传输的要求,研究一种基于FPGA的DSP技术和DDS技术的软件无线电调制器的设计方法。在FPGA平台上设计具有ASK,FSK,PSK和QAM调制功能的软件无线电调制器。该系统具有可重复编程和动态重构的优点,使系统易于修改和功能升级,灵活性强。  相似文献   

14.
以MPEG-2标准为基础,阐述了QAM调制器前端包处理器的原理。利用模块化的结构完成智能空包过滤、节目参考时钟校正等功能,与以往的调制器前端包处理器相比,显著提高了调制器系统性能。采用同步搜索和同步跟踪机制以及PCR校正的间接方法,用FPGA实现,简单可行。  相似文献   

15.
针对QAM调制解调技术在移动通信中的应用,提出了一种基于Altera公司的CPLD器件和Micro-devices公司的RF2713(单片集成正交调制解调芯片)器件,用VerilogHDL硬件描述语言实现64QAM(正交幅度调制)解调器后端编码设计的思想和方法.该方法具有一定的扩展性,并且用EPM7128SLC84-15实现了该设计.通过修改VerilogHDL程序可以适应256制式的QAM解调.  相似文献   

16.
针对基于FPGA的DSP技术,本文提出了一种基于DSP Builder的软件无线电调制器的设计方法,在DDS的理论基础上,采用DSP Builder软件,设计了具有FSK、PSK、ASK调制功能的数字中频调制器。文中讨论了调制载频的一般理论,并将推导出的相关理论结果运用到仿真调试中,最后在FPGA芯片上验证了调制器的系统功能。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号