首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 171 毫秒
1.
本信号发生器采用一只A/D转换器和一只固定滤波器组成数字式正弦波发生器,而替换普通的查表式信号发生器。该电路具有可编程输出滤波器自动改变频率的特点。 时钟输入通过两级计数器驱动  相似文献   

2.
本设计由STC89C52单片机控制模块、数字信号发生模块、液晶显示模块、伪随机信号发生模块、低通滤波模块、数字信号分析电路组成。通过D触发器与异或门电路以线性移位寄存器构成m序列生成器,并由数字信号分析电路产生锯齿波扫描电压,通过示波器显示眼图,滤波器采用四阶有源模拟滤波器设计实现。STC89C52单片机定时器控制产生信号发生器的时钟信号,并可以通过矩阵键盘控制m序列数据率的调整,其数据率和档位在单片机的控制下调整并由液晶显示。伪随机信号发生器时钟信号由10MHz的有源晶振提供,经两个74LS273级联,在异或门的控制下产生伪随机信号。三个低通滤波器采用宽带四阶巴特沃斯滤波器,带内增益调整范围为0.2-4.5;信号分析电路主要产生数据同步信号,并经锁相倍频及DAC转换器输出锯齿波扫描电压,以显示信号分析眼图。经实验测试及分析,本设计完成了m序列信号与噪声的生成叠加,滤波器设计与信号分析及同步扫描信号生成,形成眼图观测功能。  相似文献   

3.
串行接口常用于高速数据传输,实现多路低速并行数据合成一路高速串行数据.设计了一种高速并串转换控制电路,实现在低频时钟控制下,通过内部锁相环(PLL)实现时钟倍频和数据选通信号,最终形成高速串行数据流,实现每5路全并行数据可按照顺序打包并转换为1路高速串行编码,最后通过一个低电压差分信号(LVDS)接口电路输出.该芯片通过0.18 μmCMOS工艺流片并测试验证,测试结果表明在120 MHz外部时钟频率下,该并串转换控制芯片能够实现输出速度600 Mbit/s的高速串行数据,输出抖动特性约为80 ps,整体功耗约为23 mW.  相似文献   

4.
殷树娟  孙义和  薛冰  贺祥庆   《电子器件》2006,29(1):158-161
随着专用集成芯片(ASIC)和系统芯片(SOC)的飞速发展,芯片内部生成可变频率的稳定时钟变得至关重要,设计一个高性能锁相环正是适应了这样的需求。本文在传统锁相环结构的基础上设计了一种高速、低功耗、低噪声的高性能嵌入式混合信号锁相环结构。它可以在片内产生多分组高频稳定时钟信号,从而为先进的专用集成芯片(ASIC)和系统芯片(SOC)的实现提供最基础且最重要的可应用时钟产生电路。模拟结果表明:该锁相环可稳定输出500 MHz时钟信号,稳定时间小于700ns,在1.8V电源下的功耗小于18mW,噪声小于180mV。  相似文献   

5.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计并实现了一个频率、幅值可调的信号发生器,同时阐述了该信号发生器的工作原理、电路结构及设计思路。经过电路调试,输出波形达到技术要求,证明了该信号发生器的有效性和可靠性。  相似文献   

6.
本文设计了一款高效率,高输入电压,输出电流恒定的大功率白光LED驱动芯片。设计了芯片中的运算放大器电路、带隙基准电路、锯齿波发生器电路、比较器电路、误差放大器电路、输出过压保护电路、过热保护电路、功率管驱动电路、逻辑控制电路等,并给出了仿真结果。仿真结果表明设计的芯片达到了预期的要求。  相似文献   

7.
介绍一种基于PCI总线的激光标刻控制系统设计.系统采用PLX公司PCI总线控制芯片PCI9052作为接口芯片;采用Altera公司的CPLD控制器件EPM7064和AHDL编程语言,设计IP软核,实现局部总线的译码及功能电路的逻辑控制;基于IP核的PWM控制电路控制时钟取自33 MHz的PCI总线时钟,分频获得8位控制精度的PWM占空比调节,实现了高精度的固定频率和可变频率的PWM信号输出.配合硬件设计开发了测试软件和激光标刻应用软件,加快了硬件的设计过程和推广应用.  相似文献   

8.
张辉  杨海钢  王瑜  刘飞  高同强 《半导体学报》2011,32(4):045010-6
本文设计实现了一种用于FPGA芯片的可重构多功能的锁相环时钟发生器。该时钟发生器具有可配置的时钟发生和延时补偿两种模式,分别实现时钟倍频和相位对准的功能。输出时钟信号还具有可编程的相移和占空比调节等高级时钟变化功能。为了提高相位对准和相移的精度,本文设计了一种具有新的快速起振技术的压控振荡器。本文还提出了一种延时分割方法以提高用于实现相移和占空比调节功能的后端分频器的速度。整个时钟发生器使用0.13μm标准CMOS工艺设计制作。测试结果表明,能够实现270MHz到1.5GHz的宽调节范围,当锁定在1GHz时,整个电路功耗为18mW,rms抖动小于9ps,锁定时间为2μs左右。  相似文献   

9.
徐壮  俞慧月  张辉  林霞 《半导体技术》2011,36(12):953-956
基于整数分频锁相环结构实现的时钟发生器,该时钟发生器采用低功耗、低抖动技术,在SMIC 65 nm CMOS工艺上实现。电路使用1.2 V单一电源电压,并在片上集成了环路滤波器。其中,振荡器为电流控制、全差分结构的五级环形振荡器。该信号发生器可以产生的时钟频率范围为12.5~800MHz,工作在800 MHz时所需的功耗为1.54 mW,输出时钟的周期抖动为:pk-pk=75 ps,rms=8.6 ps;Cycle-to-Cycle抖动为:pk-pk=132 ps,rms=14.1 ps。电路的面积为84μm2。  相似文献   

10.
在传统锁相环结构的基础上设计了一种高速、低功耗、低噪声的高性能嵌入式混合信号锁相环结构.它可以在片内产生多分组高频稳定时钟信号,从而为先进的专用集成芯片(ASIC)和系统芯片(SOC)的实现提供最基础且最重要的可应用时钟产生电路.模拟结果表明,该锁相环可稳定输出500MHz时钟信号,稳定时间小于700 ns,在1.8V电源下的功耗小于18mW,噪声小于180mV.  相似文献   

11.
As digital signal processing systems become larger and clock rates increase, the typical design approach using global clock synchronization will become increasingly difficult. The application of asynchronous clock-free designs to high-performance digital signal processing systems is one promising approach to alleviating this problem. To demonstrate this approach for a typical signal processing task, the system architecture and circuit design of a chip set for implementing high-rate adaptive lattice filters using the asynchronous design techniques is presented.This research was sponsored in part by the Semiconductor Research Corporation and by DARPA.  相似文献   

12.
Design of PLL-based clock generation circuits   总被引:1,自引:0,他引:1  
The design of clock generation circuitry being used as a part of a high-performance microprocessor chip set is described. A self-calibrating tapped delay line is used to generate four nonoverlapping clock phases of a system clock. A charge-pump phase-locked loop (PLL) calibrates the delay per stage of the delay line. Using this technique, it is possible to obtain an accurate phase relationship between the off-chip reference clock and the internal clock signals. Experimental results show that required timing relations can be obtained with less than 2-ns clock skew for frequencies from 1 to 18 MHz.  相似文献   

13.
基于AD9858的快速捷变频频率合成器的设计   总被引:6,自引:3,他引:3  
选用内部时钟可达1GHz的高性能直接数字合成频率源DDS芯片AD9858作为核心器件设计频率合成器,采用DDS DSP SAWO的设计方案,设计成功905MHz低相噪、高稳定度的声表面波振荡器为AD9858提供参考时钟,整个系统采用高性能的DSP作为控制电路。文中详细阐述了AD9858芯片的主要性能及其在快速捷变频频率合成器设计中的应用方法。  相似文献   

14.
A fully integrated 2-D linear filter including a line buffer for a 7×7 kernel is presented. To run the filter in real time at video clock frequencies, an array of pipelined carry-save adders was used as a very fast arithmetic unit. The filter chip contains 292451 transistors on a silicon area of 135 mm2. The maximum clock frequency under worst-case conditions for technology and temperature was simulated to be 20 MHz. The main blocks are designed as independent parameterizable modules. The line buffer and the arithmetic unit are available as macros in a standard cell library for semicustom design. With these macros a semicustom chip for image enhancement in a X-ray system was produced. This chip works with a system frequency of 13 MHz. The line buffer module is used in another full-custom image processing chip-a two-dimensional rank order filter with a kernel size of also 7×7. This chip contains more than 300000 transistors on a silicon area of 103 mm2. In this case the module containing the 1-D FIR (finite impulse response) filters is replaced by additional pixel delays and a sorter module. Simulations have shown that the chip could work with clock frequencies up to 20 MHz  相似文献   

15.
A GaAs, enhanced/depletion mode, self-aligned, refractory-gate, MESFET chip process and circuit family have been developed for the integration of fiber-optic data link functions (e.g. photodetection, amplification, clock recovery, and deserialization) on a single chip. These authors describe the process and present results on integrating a complete optical receiver, including the photodiode and clock recovery circuits, on one chip. The chip functions use over 2000 devices, and perform at 1-GB/s, while dissipating less than 300 mW of heat. This chip is the most complex high-performance optoelectronic integrated circuit reported to date  相似文献   

16.
One lattice equalizer stage is designed on a single chip using 4-/spl mu/m NMOS technology. All the arithmetic operations of the chip are performed bit-serially under the control of a global two-phase clock, and they are totally pipelined. The data are represented as 16-bit two's complement fixed-point numbers. A built-in test scheme allows the offline testing of the chip with high fault coverage at a minimal hardware overhead. Direct coupling between chips permits the realization of filters of higher order. In addition, the structure of the lattice equalizer permits the use of the same chip in linear prediction problems. SPICE simulation results and fabrication of the major blocks in the design demonstrated that operating clock frequencies of up to 8 MHz are possible. At the maximum estimated operating clock frequency, the chip can accommodate applications with data rates of up to 500 kHz.  相似文献   

17.
A single-chip CMOS codec with filters has been developed using charge redistribution and switched-capacitor techniques. Its features are ~30 mm/SUP 2/ small chip area, 35 mW low power dissipation, and small 16 pin package. These are achieved with novel analog circuit techniques for A/D and D/A conversions and clock generation. Measured transmission characteristics meet the system requirements.  相似文献   

18.
从方法优化和电路设计入手,提出了基于片上系统(SOC)的复位方法和时钟复位电路.设计了片外按键复位电路、片内上电电路、晶振控制电路、片内RC低频时钟电路、槽脉冲产生电路、分频延时电路、时钟切换电路及异步复位同步释放电路等电路模块.以上电路模块构成了片上系统的时钟复位电路,形成了特定的电路时钟复位系统.该时钟复位系统将片外按键复位与片内上电复位结合起来,形成多重复位设计,相比单纯按键复位更智能,相比单纯上电复位则更可靠.另外,该时钟复位系统还采用了片内RC振荡时钟电路等一系列电路,借助片内RC时钟实现对芯片的延时复位,进而在保证复位期间寄存器得到正确初始化的同时,还使得芯片能够始终处在稳定的晶振时钟下正常工作.相比传统的时钟复位电路,该时钟复位系统既便捷,又保证了系统初始化和系统工作的可靠性.  相似文献   

19.
A programmable 8-b digital signal processor core with an instruction cycle time of 20 ns is developed. A 37.5-mm chip is fabricated by advanced 1.0-μm double-level-metal CMOS technology. This processor has a reconfigurable high-speed data path supporting several multiply/accumulate function, including 16-tap linear-phase transversal filtering, high-speed adaptive filtering, and eight-point discrete cosine transformation. To provide high-speed operation within the chip, a programmable phase-locked loop circuit is built on the chip. This circuit generates a high-speed clock, which is a multiple of the system clock fed from outside, and is synchronized to the system clock  相似文献   

20.
A single-chip analog transmitter (TX chip) for a V29-V32 9600-b/s modem has been implemented in a 3-μm CMOS n-well process. A high level of integration permits a low-cost, high-performance modem to be built. The TX chip is composed of analog, switched capacitor, and digital circuits. The important functions realized are the phase-point generator, the cosine roll-off low-pass filter, the modulator, and the programmable equalization filters. The chip occupies 29 mm2 and dissipates 300 mW  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号