首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 312 毫秒
1.
采用二次离子质谱仪(SIMSl测试了SiON和Ta双层扩散阻挡层及Ta扩散阻挡层的阻挡性能;采用X射线衍射仪(XRD)测量了沉积态有Ta阻挡层和无阻挡层Cu膜的晶体学取向结构;利用电子薄膜应力测试仪测量了具有双层阻挡层Cu膜的应力分布状况。测试结果表明,双阻挡层中Ta黏附层有效地将Cu附着于Si基片上,并对Cu具有一定的阻挡效果,而SiON层则有效地阻止了Cu向SiO2中的扩散。与Ta阻挡层相比,双阻挡层具有较好阻挡性能。有Ta阻挡层的Cu膜的(111)织构明显强于无阻挡层的Cu膜。离子注氮后,薄膜样品应力平均值为206MPa;而电镀Cu膜后,样品应力平均值为-661.7MPa。  相似文献   

2.
采用物理气相沉积方法在聚酰亚胺基板上沉积Cu薄膜,利用TiN阻挡Cu元素向聚酰亚胺基板内部扩散。研究了在60Co-g射线辐照条件下,TiN阻挡层的阻挡效果,扫描俄歇微探针谱图分析表明:TiN层可以有效地阻挡Cu元素向聚酰亚胺基板内的扩散。当照射剂量大于2105 Gy后,TiN失去阻挡Cu元素扩散的效果。  相似文献   

3.
在硅衬底上用不同淀积速率溅射得到了 60 nm厚钽薄膜作为铜布线工艺中的扩散阻挡层。样品在退火前后 ,用二次离子质谱仪 (SIMS)对钽膜的阻挡效果进行鉴定 ,原子力显微镜 (AFM)分析了钽薄膜的形貌结构。研究发现不同淀积速率制作的钽膜由于其结构的差异对铜硅互扩散有着不同的阻挡效果 ,并提出样品在退火时 ,薄膜晶粒的重结晶过程是导致阻挡层失效的重要因素之一  相似文献   

4.
CoSiN薄膜可以作为超大规模集成电路Cu布线互连材料使用。利用磁控溅射技术制备了CoSiN/Cu/CoSiN/SiO2/Si薄膜,利用四探针测试仪、薄膜测厚仪、原子力显微镜、X射线光电子能谱仪等来检测多层膜电阻率、薄膜厚度、表面形貌、元素含量及价态等。考察亚45 nm级工艺条件下CoSiN薄膜对Cu的扩散阻挡性能。实验结果表明,在氩气气氛条件下经500℃,30 min热退火处理后多层膜的电阻率和成分没有发生明显变化,CoSiN薄膜能够保持良好的铜扩散阻挡性能;经600℃,30 min热退火处理后,Cu大量出现在表面,CoSiN薄膜对Cu失去扩散阻挡性能。  相似文献   

5.
利用磁控溅射方法在表面有SiO2层的Si基片上溅射Ta/NiFe薄膜,采用X射线光电子能谱(XPS)研究了SiO2/Ta界面以及Ta5Si3标准样品,并进行计算机谱图拟合分析.实验结果表明在制备态下在SiO2/Ta界面处发生了热力学上有利的化学反应:37Ta+15SiO2=5Ta5Si3+6Ta2O5,界面处形成更稳定的化合物新相Ta5Si3、Ta2O5.在采用Ta作阻挡层的ULSI铜互连结构中这些反应产物可能有利于对Cu扩散的阻挡.  相似文献   

6.
利用磁控溅射方法在表面有SiO2层的Si基片上溅射Ta/NiFe薄膜,采用X射线光电子能谱(XPS)研究了SiO2/Ta界面以及Ta5Si3标准样品,并进行计算机谱图拟合分析.实验结果表明在制备态下在SiO2/Ta界面处发生了热力学上有利的化学反应:37Ta+15SiO2=5Ta5Si3+6Ta2O5,界面处形成更稳定的化合物新相Ta5Si3、Ta2O5.在采用Ta作阻挡层的ULSI铜互连结构中这些反应产物可能有利于对Cu扩散的阻挡.  相似文献   

7.
为了提高FeCoSiB薄膜和FeCoSiB/Cu/FeCoSiB多层膜的磁弹性能,利用磁控溅射方法在玻璃基片上沉积制备薄膜样品,并在真空中退火。测试了不同温度退火后,薄膜样品的应力阻抗效应。结果表明,退火处理条件对薄膜的应力阻抗效应有较大的影响。在6.4kA·m–1磁场下,薄膜经300℃、40min退火处理后,单层FeCoSiB和多层FeCoSiB/Cu/FeCoSiB的应力阻抗效应分别为1.86%和8.30%。  相似文献   

8.
李富银  王颖  唐彬浛 《半导体技术》2017,42(5):371-375,386
采用直流磁控溅射法分别将Cu (Ti)和Cu (Cr)合金层沉积在SiO2/Si衬底上,随后将制得的样品在真空(2×10-3 Pa)中退火1h,退火温度为300 ~ 700℃.对Cu (Ti)及Cu (Cr)自形成阻挡层进行对比研究,通过X射线衍射(XRD)、X射线光电子能谱(XPS)和透射电子显微镜(TEM)观察并表征样品的微观结构.通过半导体分析仪测试样品的电学性能,并分析了其热稳定性.结果表明,在Cu膜中分别加入少量的Ti或Cr可使Cu沿〈111〉晶向择优取向生长.两种样品交界面处的Cu及Si元素含量迅速下降,表明在交界面处自形成阻挡层,抑制了Cu与Si元素之间的扩散.Cu (Ti) /SiO2/Si和Cu (Cr) /SiO2/Si样品漏电流测试结果表明,Cr自形成的阻挡层具有更好的热稳定性.  相似文献   

9.
报道了一种用于技术节点为28 nm的集成电路多层铜互连阻挡层化学机械平坦化(CMP)的弱碱性抛光液(pH值为8.5),仅由平均粒径为20 nm的硅溶胶、FA/O多羟多胺螯合剂及非离子表面活性剂组成。实验结果表明,研发的弱碱性阻挡层抛光液对牺牲层SiO2、阻挡层Ta及金属互连线Cu等多种材料具有优异的速率选择性,去除速率一致性大于95%,并在28 nm多层铜布线片阻挡层CMP后具有较高的平坦化性能,分别获得了20 nm以下深度的碟形坑和蚀坑。通过电化学极化曲线测试表明,此弱碱性阻挡层抛光液能有效减少Cu和Ta之间的腐蚀电位差,并避免了铜互连结构Cu和Ta界面处电偶腐蚀的产生,这对提高集成电路芯片可靠性具有重要意义。  相似文献   

10.
研究了Cr扩散阻挡层对柔性不锈钢衬底Cu(InxGa1-x)Se2(CIGS)太阳电池性能的影响.XRD和SEM分析表明,Cr阻挡层能够部分阻挡Fe等杂质从不锈钢衬底热扩散进入CIGS吸收层中,同时可以显著降低CIGS吸收层的粗糙度,提高薄膜结晶质量.从衬底扩散进入吸收层中的Fe元素以FeInSe2的形式存在,并形成FeCu等深能级缺陷,钝化了器件的性能.相同工艺条件下,在玻璃、不锈钢以及不锈钢/Cr阻挡层上所制备电池的(有效面积0.87cm2)转换效率分别为10.7%,7.95%和8.58%,不锈钢衬底电池效率的提高归因于Cr阻挡层的作用.  相似文献   

11.
Thin (<4 nm) Physical Vapor Deposited (PVD) Ru-10 at.% Ta films were evaluated as diffusion barriers and seed enhancement layers for Cu metallization in sub 25 nm trenches. The ratio of Ru/Ta on blanket wafers could be influenced by changing the process conditions. However, a difference in Ru/Ta ratio did not influence the thermal stability of the layers during High Temperature X-ray Diffraction (HT-XRD) measurements as all RuTa films exhibited good thermal properties since no Cu-silicide formation was observed for temperatures below 500 °C. The RuTa films also passed an 85 °C/85% relative humidity (RH) test of one week of storage in order to test the H2O barrier integrity of the films. Furthermore no difference was found when testing the O2 barrier integrity during 300 s anneals at various temperatures between 250 °C and 500 °C. Good Cu fill of 20 nm trenches (AR 4:1) patterned in oxide was achieved when combining the RuTa films with PVD Cu seed layers with thicknesses ranging from 7 to 20 nm and Cu plating. When compared to a Ta(N)/Ta barrier, relatively high electrical yields (60-80%) were obtained for structures with CDs <30 nm when combining RuTa films with PVD Cu seed layers as thin as 7 nm (on field), hence evidencing the seed enhancement ability of these layers.  相似文献   

12.
Organic passivation layers are used as coatings in semiconductor devices for protection of metallization films against a variety of environmental effects. Polyimides (PI) have been used successfully as conformable protection layers on aluminium and also recently on copper metallization. While adhesion properties of Cu interconnects to compliant polymer substrates have been investigated so far, studies on PI/Cu passivation layers are scarce. In this study the adhesion strength of polyimide passivation layer to Cu film stacks on Si has been studied by using different fracture mechanic methods including four point bending, double cantilever beam and single lap shear tests. The focus is investigation of the dependency of the critical energy release rate to the mode mixity obtained by different testing techniques and evaluation of the delamination data using analytical models and by means of FEA and cohesive zone modelling.  相似文献   

13.
The crystallographic texture and grain size of sputtered Cu films were characterized as a function of deposition temperature, barrier layer material, and vacuum conditions. For Cu deposited in a HV chamber, (111) Cu texture was found to weaken with increasing deposition temperatures on W, amorphous C and Ta barrier layers, each deposited at 30°C. Conversely, under identical Cu deposition conditions, texture was found to strengthen with increasing deposition temperature on Ta deposited at 100°C. Median Cu grain size varied parabolically with deposition temperature on all barrier layers and was slightly higher on the 100°C Ta at a given Cu deposition temperature, relative to the other underlayers. For depositions in an UHV chamber, Cu texture was found to strengthen with increasing Cu deposition temperature, independent of Ta deposition temperature. Median Cu grain size, however, was still higher on 100°C Ta than on 30°C Ta. The observed differences between the two different chambers suggest that the trend of weak texture at elevated deposition temperatures may be related to contamination. Characterization of the Ta underlayers revealed that the strengthened texture of Cu films deposited on 100°C Ta is likely related to textural inheritance.  相似文献   

14.
Two types of copper seed layers deposited by MOCVD and long throw sputtering (LTS) onto a tantalum barrier layer were used for electroplating (EP) of copper in the forward pulsed mode. MOCVD and PVD copper seed layers were compared with respect to step coverage, electrical resistivity, texture and adhesion behaviour. The different properties induce different electroplating fill attributes, including grain size and adhesion behaviour. MOCVD Cu seed layers show high step coverage, but do not adhere to the Ta barrier after the Cu EP. LTS Cu reveal strong (111) texture and excellent adhesion before and after Cu EP. Therefore, a CMP process could only be performed on patterned wafers with PVD/EP copper to obtain electrical data. The fabricated Cu lines show a high yield with respect to opens and shorts and standard deviations of the line resistance across the wafer.  相似文献   

15.
The properties of Ta barrier films treated with various plasma nitridations have been investigated by Cu/barrier/Si. An amorphous layer is formed on Ta barrier film after plasma treatments. The thickness of the amorphous layer is about 3 nm. Plasma treated Ta films possess better barrier performance than sputtered Ta and TaN films. It is attributed to the formation of a new amorphous layer on Ta surface after the plasma treatment. Cu/Ta(N,H)/Ta (10 nm)/Si remained stable after annealing at 750 °C. Ta(N,H)/Ta possesses the best thermal stability and excellent electrical properties. Cu/Ta/n+-p and Cu/Ta(N,O)/Ta/n+-p diodes resulted in large reverse-bias junction leakage current after annealing at 500 °C and 600 °C, respectively. On the other hand, Ta(N,H)/Ta and Ta(N)/Ta diffusion barriers improve the thermal stability of junction diodes to 650 °C. Ta(N,H)/Ta barrier film possesses lowest resistivity among Ta, Ta(N,O)/Ta, and Ta(N)/Ta films. Hydrogen plays an important role in enhancement of barrier properties. It is believed that hydrogen not only induces amorphization on Ta, but also eliminates the oxygen in the film. It is believed that the enhancement of ability against the copper diffusion is due to the combined effects of the hydrogen reaction and nitridation.  相似文献   

16.
A unique test structure based on a metal-insulator-semiconductor planar capacitor (Pcap) design was used to investigate several aspects of metal barrier-induced low-k damage. A special term called Effective Damage Thickness was introduced to describe the degree of damage. Ta(N) barrier was deposited on various dielectric films with porosity up to 32%. It has been found that the Effective Damage Thickness increases as the porosity increases. The damage is influenced more by the porosity of low-k films than the film density. Furthermore, the damage was modulated by Ta(N) deposition conditions. More damage was observed when higher target and/or substrate bias power was used, suggesting that the ion energy of the barrier material plays an important role in the low-k damage mechanism. A same degree of damage was observed for Ta barrier as for Ta(N), suggesting that Ta(N) deposition-induced low-k damage was primarily caused by Ta ions not nitrogen. Impact of Ru(Ta) and Cu(Mn) self forming barrier on low-k damage was also investigated. Among all the barriers studied in this work, the Ta-based barriers caused the most damage while the Cu(Mn) self forming barrier had the least damage to the low-k. The atomic masses for Ta, Ru, and Cu are 181, 101, and 64, respectively, corresponding with the observed degree of damage in the low-k material.  相似文献   

17.
Diffusion barrier properties of Ta films with and without plasma treatments have been investigated in the study. The nitrogen-incorporated Ta films were prepared by NH3 plasma treatment or reactive sputtering. Barrier properties were evaluated by sheet resistance, X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and reverse-biased junction leakage current. An amorphous-like TaNx layer was formed on Ta barrier film after plasma treatments. The thickness of the amorphous TaNx layer is about 3 nm and NH3 plasma-treated Ta films (TaNx/Ta) possess lower resistivity and smaller grain sizes. The Cu/TaNx/Ta(10 nm)/Si remained stable after annealing at 750 °C for 1 h. NH3 plasma-treated Ta films (TaNx/Ta) possess better thermal stability than Ta and TaN films. It is attributed to the formation of a new amorphous layer on the surface of Ta film after the plasma treatments. For thermal stability of Cu/Ta(-N)/n+-p diodes, Cu/Ta/n+-p and Cu/TaN/n+-p junction diodes resulted in large reverse-bias junction leakage current after annealing at 500 and 525 °C, respectively. On the other hand, TaNx/Ta diffusion barriers will improve the integrity of Cu/Ta(-N)/n+-p junction diodes to 650 °C.  相似文献   

18.
The interfacial adhesion energy between metal and porous low-k dielectrics is an important parameter for the reliability study of back-end of line integration. In this work, we have observed the spontaneous film delamination with telephone cord morphology after 130 nm thick Ta was sputtered onto methyl silsesquioxane (MSQ) low-k dielectric. The highly compressive stress inside the Ta film is the driving force for the spontaneous buckling. The adhesion failure was identified to be at Ta/MSQ interface by using focused ion beam and scanning electron microscopy. Pinned circular blister model was applied to fit the buckling morphology. The interfacial adhesion energy was extracted to be 7.90 J/m2 at 87° phase angle. The Cu/MSQ interface was evaluated in a similar fashion by using a stressed overlayer Ta/Cu. The fracture energy was calculated to be 3.34 J/m2 with the similar phase angle. The results suggest that an adhesion promoter between Cu and low-k dielectrics is essential for a mechanically stable structure.  相似文献   

19.
The W-based diffusion barriers W, WC and WCN barriers were investigated for Cu metallization. The thermal stability of the W, WC and WCN barriers was compared by X-ray diffraction and four point probe. It shows comparable stability for the W and WC barriers while the ternary WCN barrier has superior performance. The agglomeration of the Cu films (100 nm) on these barriers is quite different. The formation of voids was observed for the annealed copper film on the WC or WCN barriers and the activation energy values determined from Kissinger equation are low comparing with Cu on W barrier. Twins were also observed in the as-deposited and annealed Cu films on the WC and WCN barriers. The twin formation and its correlation with void formation for Cu films onto the C-containing diffusion barrier were discussed through the stress relaxation and stress-induced vacancy migration mechanism.  相似文献   

20.
Formation of Ti diffusion barrier layers in Thin Cu(Ti) alloy films   总被引:1,自引:0,他引:1  
In order to study a formation mechanism of thin Ti-rich layers formed on the surfaces of Cu(Ti) wires after annealing at elevated temperatures, the 300-nm-thick Cu(Ti) alloy films with Ti concentration of 1.3 at.% or 2.9 at.% were prepared on the SiO2/Si substrates by a co-sputter deposition technique. The electrical resistivity and microstructural analysis of these alloy films were carried out before and after annealing at 400°C. The Ti-rich layers with thickness of ∼15 nm were observed to form uniformly both at the film surface and the substrate interfaces in the Cu(2.9at.%Ti) films after annealing (which we call the self-formation of the layers) using Rutherford backscattering spectrometry (RBS) and transmission electron microscopy (TEM). Both the resistivities and the microstructures of these Cu(Ti) films were found to depend strongly on the Ti concentrations. The resistivities of the films decreased upon annealing due to segregation of the supersaturated Ti solutes in the alloy films to both the top and bottom of the films. These Ti layers had excellent thermal stability and would be applicable to the self-formed diffusion barrier in Cu interconnects of highly integrated devices. The selection rules of the alloy elements for the barrier self-formation were proposed based on the present results.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号