首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
By implanting a dose of 6×1017 cm?2 of 32O at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200°C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm2/Vs has been measured in n-channel MOSFETs fabricated in a 0.5 ?m-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at VDS=2 V has been measured.  相似文献   

2.
Epitaxial silicon films have been grown onto as-received and implanted (100) silicon wafers by electron-beam evaporation. A high-temperature treatment to remove native oxide was not employed. Optimum temperatures for epitaxial growth were between 600°C and 700°C. Deposition rates were from 30 to 80 nm/min and the operating pressure was < 5 × 10 ? 7 mbar. The Rutherford backscattering spectra of the optimum films have values of ?min indistinguishable from that of a bulk wafer (3.9%).  相似文献   

3.
硅由于透光区域较宽,便于光学系统使用而经常应用于中波红外光学系统中。但是,以其作为基底,镀制0°~22.5°入射、633 nm与3.5~4.1μm双波段的反射膜却具有相当大的难度,尤其是φ300 mm等大尺寸硅镜引起的牢固度问题。以红外光学和薄膜技术为背景,介绍了大尺寸硅基体反射膜的特性、制备及测试方法。由于红外区可选用的薄膜材料较少,兼顾膜层的制备、光谱特性及可靠性满足等方面因素,最终采用氟化镱(YbF3)作为低折射率材料。经过多次实验,采用速率控制、离子辅助等工艺方法,选取合适的基底温度,解决了在大尺寸硅基体上由于膜层过厚以及YbF3膜层严重应力作用而导致的膜层龟裂问题,最终研制成功符合使用要求,且可靠性和光谱特性皆优的双波段反射薄膜。  相似文献   

4.
The high-temperature stability of sputtered tantalum silicide contacts on gallium arsenide has been evaluated. Diodes consisting of Ta silicide on epitaxial n (1.9 × 1017 cm?3; 0.23 ?m/n+ GaAs substrate were annealed at temperatures from 375°C to 800°C. Result show that the ideality factor, barrier height and reverse breakdown voltage remain stable at value of 1.1, 0.79 V and 9 V, respectively. MESFETs with Ta silicide gates exhibited similar drain current/voltage characteristics as conventional Cr/Au gate devices.  相似文献   

5.
Before barrier metal evaporation, silicon Schottky diodes were cleaned by argon-ion bombardment. Some device series were evaporated just after the ion cleaning, whereas others were annealed beforehand. The electrical characteristics of the different series were checked by means of standard I/V and C/V measurements. Whereas the ideality coefficient and the barrier height obtained from I/V characteristics showed nearly complete recovery after heat treatment for 1 h at 700°C, the barrier height from C/V measurements did not recover.  相似文献   

6.
Wilkie  J.H. Sealy  B.J. 《Electronics letters》1986,22(24):1308-1309
Elevated-temperature (200°C) implants of 1014 and 1015 Hg ions cm?2 at 100keV have been successfully activated by rapid thermal annealing at temperatures of 750?850°C using a novel dual layer of Si3N4 and AlN as an encapsulant. P-type activity was found for all samples, yielding maximum hole concentrations of approximately HV = 8 × 1017 cm?3 and mobilities of 100 cm2/Vs. Sheet carrier concentrations were found to increase with temperature for 60s anneals, giving a value of 0.8 eV for the `activation energy? of Hg implants in InP. Longer annealing times resulted in a degradation of the encapsulant and a corresponding reduction in electrical properties.  相似文献   

7.
Zirconium nitride (ZrN) thin films are irradiated with 800 keV energetic carbon (C) ions in a 5UDH-Pelletron accelerator and the ions irradiation induced effects are investigated. The films are irradiated at various C ions fluences, ranging from 1013 to 1015 ions/cm2. The scanning electron microscopy study of the films indicates the development of zirconium (Zr) nanoparticles at ions irradiated region. X-ray diffraction (XRD) patterns of C ions irradiated films also show the formation of (100) and (002) oriented nanocrystalline metallic Zr phases. The irradiated films spectra depict a shift in ZrN peaks towards higher 2θ values, exhibiting that C ions bombardment induces compressive stress in the irradiated films. The appearance of C related peaks in Fourier transform infrared (FTIR) spectra confirms the incorporation of C atoms into ZrN film. Compressive stress has been calculated from the IR peak shift which indicates that higher ion dose (≥5×1014 ions/cm2) produce lower compressive stress relative to the lower ions fluences. Effect of ion dose on the film resistivity is also reported.  相似文献   

8.
James  S. Wilson  I.H. 《Electronics letters》1979,15(21):683-684
High-energy (40 to 240 keV) argon ion beams have been used for fine tuning of the stop/passband frequency (nominally 157 MHz) of Al/quartz Rayleigh wave transmission filters. An increase in frequency (accompanied by a reduction in impedance ratio) occurred for high ion energies and low ion doses, where the dominant effect appears to be amorphisation of the quartz surface with a reduction in mass loading due to swelling. The frequency shift was+120 kHz per 100 keV of bombarding ion energy for an ion dose of 1.5×1016 ions/cm2. A decrease in frequency occurred for low ion energies and high ion doses caused by the difference in sputtering rate between the quartz and the Al digits. The frequency shift in this case was ?100 kHz for a dose of 1×1017 ions cm?2  相似文献   

9.
Leakage current measurements were made across the surface of silicon test chips up to a temperature of 130°C (403°K) and 70% relative humidity (RH) to develop a more accelerated temperature-humidity-bias corrosion test. Several equations were fitted to the data in order to extrapolate the surface conductivity data to operating conditions. A smooth transistion from atmospheric pressure into the pressurized steam region was observed. The leakage current acceleration factor is the same at 130°C/60%RH and 85°C/85%RH for bare gold electrodes. For nitride-covered aluminum conductors the equlvalent conditions are 130°C/65%RH or 85°C/85%RH. The advantage in the steam region is a larger temperature differential between the sample and saturation; approximately 15°C as opposed to 4°C for the 85°C/85%RH. This makes the steam test easier to control, but might raise the temperature beyond the decomposition limits for organic packaging materials. For inorganic systems with nitride-covered aluminum electrodes, an acceleration by a factor of three compared to 85°%C/85%RH can be obtained at 140°C/70%RH or 17°C/60%RH. Coefficients were determined by multiple regression analysis for three forms of equations which express the surface conductivity in terms of reciprocal absolute temperature and RH. The acceleration factors determined by a power law are consistently lower than those determined by two forms of an exponential equation.  相似文献   

10.
Badawi  M.H. Mun  J. 《Electronics letters》1984,20(3):125-126
Incoherent light from high-intensity halogen lamps was used for capless annealing of 2-inch GaAs wafers following silicon ion implantation. Fabrication of depletion mode MESFETs on the annealed wafers was used to study the DC characteristics and uniformity achieved with this annealing method. An average mutual transconductance of 110 mS/mm was obtained with MESFET fabricated wafers which were uniformly implanted at 5 × 1012 cm?2 with Si+ at 80 keV and subsequently annealed at 900°C for 2 s. The carrier concentration profiles obtained with this method are shown to be sharper than those obtained with furnace annealed wafers, which in turn results in a sharper device pinch-off voltage.  相似文献   

11.
Low resistivity layers have been formed at low process temperatures, by high dose Co+ ion implantation in to hydrogenated amorphous silicon (a-Si:H) and amorphous silicon carbide (a-SiC:H). The lowest resistivities, of the order of 10 ohms/Sq, have been observed for the carbon-free films (a-Si:H) and can be obtained at annealing temperatures of <250°C. Schottky barrier contacts to the a-Si:H films exhibit near ideal behavior with low leakage currents, of the order 10−9 A·cm−2. The electrical properties of the amorphous films are assessed as a function of ion dose, dose rate and annealing conditions, with a view to optimizing these parameters.  相似文献   

12.
Plasma immersion ion implantation (PIII) technique was employed to form Tantalum nitride diffusion barrier films for copper metallization on silicon. Tantalum coated silicon wafers were implanted with nitrogen at two different doses. A copper layer was deposited on the samples to produce Cu/Ta(N)/Si structure. Samples were heated at various temperatures in nitrogen ambient. Effect of nitrogen dose on the properties of the barrier metal was investigated by sheet resistance, X-ray diffraction and scanning electron microscopy measurements. High dose nitrogen implanted tantalum layer was found to inhibit the diffusion of copper up to 700 °C.  相似文献   

13.
对激光化学气相沉积纳米硅的红外光谱进行了研究,结合红外光声光谱考察了退火处理对其红外光谱吸收峰位置的影响,对纳米硅的红外吸收峰进行了标识和讨论。  相似文献   

14.
The effect of ion implantation dose rate and implant temperature on the transient enhanced diffusion (TED) of low energy boron implants into silicon was investigated. The implant temperature was varied between 5 and 40°C. The beam current was varied from 0.035 to 0.35 mA/cm2. Three different defect regimes were investigated. The first regime was below the formation of any extended defects (5 keV B+ 2 × 1014/cm2) visible in the transmission electron microscope. The second regime was above the {311} formation threshold (2×1014/cm2) but below the subthreshold (type I) dislocation loop formation threshold. The final regime was above both the {311} and dislocation loop formation threshold (10 keV 5×1014/cm2). TED for these conditions is shown to be over after annealing at 750°C for 15–30 min. Secondary ion mass spectroscopy results for the three different damage regimes indicate that there is no measurable effect of dose rate or implant temperature on TED of boron implanted silicon for any of the damage regimes. It should be emphasized that the dose and energy of the boron implants is such that none of these implants approached the amorphization threshold. Above amorphization dose rate and implant temperature have dramatic effects on TED, but it appears that below the amorphization threshold there is little effect. These results suggest that for a given energy it is the ion dose not the extent of the implant damage that determines the extent of TED in boron implanted silicon.  相似文献   

15.
Recent advances in gallium phosphide technology are reviewed as they relate to high-temperature (T > 300°C) device applications. The electronic properties and materials aspects of GaP are summarized and compared to silicon and gallium arsenide. Minority-carrier unction devices are discussed as one area where this technology could have wide application. In this light, the high-temperature operation of two junction devices, a diode and a bipolar junction transistor (BJT), are displayed. The GaP diode is observed to provide excellent rectification properties with very low leakage over the full temperature range from 20°C to 400°C (< 3x10 -3A/cm2 at VR = 3 V, T = 400°C) and has demonstrated stable operation under bias for over 1000 h at 300°. The bipolar transistor has demonstrated constant current gain (6 < ? B < 10) and very low collector-base leakage for temperatures up to 450°C (ICO 80 μA at VCB = 3 V, T = 450°C). The contacting technology to GaP is identified as one area where additional work is necessary.  相似文献   

16.
This paper investigates the effects of material and dimension parameters on the frequency splitting, frequency drift, and quality factor (Q) of aluminium nitride (AlN)-on-n-doped/pure silicon (Si) microelectromechanical systems (MEMS) disk resonators through analysis and simulation. These parameters include the crystallographic orientation, dopant, substrate thickness, and temperature. The resonators operate in the elliptical, higher order, and flexural modes. The simulation results show that i) the turnover points of the resonators exist at 55 °C, –50 °C, 40 °C, and –10 °C for n-doped silicon with the doping concentration of 2×1019 cm–3 and the Si thickness of 3.5 μm, and these points are shifted with the substrate thickness and mode variations; ii) compared with pure Si, the modal-frequency splitting for n-doped Si is higher and increases from 5% to 10% for all studied modes; iii) Q of the resonators depends on the temperature and dopant. Therefore, the turnover, modal-frequency splitting, and Q of the resonators depend on the thickness and material of the substrate and the temperature. This work offers an analysis and design platform for high-performance MEMS gyroscopes as well as oscillators in terms of the temperature compensation by n-doped Si.  相似文献   

17.
Oxides have been grown on silicon using an oxygen/chlorine plasma at temperatures of 400°C and below. The electrical breakdown strength of the oxides together with the interface and bulk oxide properties after low-temperature annealing are considered. The electrical properties are shown to be more than adequate for device applications.  相似文献   

18.
D.C. operation of silicon m.o.s.t., devices of pchannel enhancement and nchannel depletion types at 4°K is described. The former has transfer and drain characteristics at 4°K which are substantially similar to those at room temperature. The latter has a nonlinear ID/VD characteristic. A large drain `threshold? is found in a few devices and is traced to the absence of gate overlap with drain and source contacts.  相似文献   

19.
GaAs has been doped by the ion implantation of silicon, sulphur, selenium and tin. After annealing at 700°C, the layers were n-type in all cases but with the heavier ions, selenium and tin, it was necessary to implant above room temperature. Van der Pauw measurements showed that for all the impurities the surface concentration of free electrons as a function of ion dose reached a maximum of approximately 1013 electron/cm2 with an average Hall mobility of 2000 cm2/V sec. The spatial distributions of active donors were obtained from both differential Hall measurements and capacitance measurements on reverse biased Schottky barriers. The maximum carrier density measured was 1018/cm3 at the peak of the distribution of tin ions implanted at 200°C. With selenium and tin implants the concentration and mobility of free electrons and the depth of the donor distribution were dose dependent. The cathodoluminescence spectra from implanted layers were dominated by broad low energy bands due to recombination at defects. A VGa-Si complex was thought to be responsible for one of the most intense bands at 1·18 eV. The results indicate that under certain conditions both defects and impurities migrate into the substrate.  相似文献   

20.
Photoluminescence spectra of n-type silicon upon implantation with erbium ions at 600°C and oxygen ions at room temperature and subsequent annealings at 1100°C in a chlorine-containing atmosphere have been studied. Depending on the annealing duration, photoluminescence spectra at 80 K are dominated by lines of the Er3+ ion or dislocation-related luminescence. The short-wavelength shift of the dislocation-related luminescence line observed at this temperature is due to implantation of erbium ions at an elevated temperature. At room temperature, lines of erbium and dislocation-related luminescence are observed in the spectra, but lines of near-band-edge luminescence predominate.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号