首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 238 毫秒
1.
用MATLAB与Quartus Ⅱ对FSK信号在FPGA中的设计与仿真进行研究,分析FSK信号在不同调制度下时域波形、频谱结构及其抗干扰性,并给出对应图表、代码;做出相应分析。完成数字FSK调制—解调系统的计算机端仿真设计。  相似文献   

2.
SystemView是一种适用于通信系统设计与仿真分析的软件工具,可以对通信系统的工作过程进行实时仿真分析。本文采用SystemView构建2FSK调制解调仿真系统。通过使用SystemView分析窗口观察信号的波形和频谱,我们能直接观测到信号在传输过程中的波形和频谱的变化,这有助于我们更深刻地理解2FSK调制解调系统的工作原理和各个设备的功能。  相似文献   

3.
权循忠 《电子测试》2013,(5S):15-16
构建基于MATLAB/Simulink的2FSK调制解调仿真系统;分析混沌信号的特征机理,针对混沌正弦波信号调制与解调,使其运行的仿真波形与随机正弦波一致,验证此仿真系统针对混沌信号的正确性。  相似文献   

4.
何维  张超 《电视技术》2015,39(9):108-112
针对频移键控在通信系统应用中其调制解调技术在一定程度上直接影响通信系统的性能,提出了一种FSK数字解调方法,称作反正切差分解调.该方法基于正交反正切算法,正交信号直接相除消除了幅度调制成分.新的方法适用于窄带和宽带的FSK信号并适合于数字接收机.仿真结果表明,该技术能有效解调FSK信号,并适合于多种制式的FSK信号.  相似文献   

5.
为检验软件无线电平台的优越性,提出基于软件无线电的采用相干解调法解调2FSK信号的方法.根据2FSK的调制解调原理,学习Matlab/Simulink软件中的模块,并利用模块搭建模型,对各模块的参数进行设计,在软件中仿真通过,并将模型通过协同仿真生成源代码下载到软件无线电平台上.相干解调法需要载波同步,从而使解调设备相对复杂.所设计的电路经过软件仿真的信号与发送信号一致,硬件验证协同仿真后的信号与发送信号一致,证明电路工作准确可靠,达到了预期效果.  相似文献   

6.
基于Linux操作系统,以ARM11嵌入式处理平台为核心,采用异步串行传输和FSK调制解调方式,设计了一种视频采集、处理与无线高速传输系统。采用USB摄像头实现数字视频的采集,S3C6410处理器对视频进行H.264硬件编解码,并利用FSK调制解调模块和射频模块完成视频的无线传输与接收。经实验测试,系统达到设计要求。  相似文献   

7.
本文研究2ASK信号的设计方法和计算机仿真,通过使用LabVIEW语言对2ASK传输系统进行设计及仿真.此次仿真包括调制和解调两个模块,在调制模块中,将输入序列与载波相乘产生2ASK信号,然后将调制出的信号加入高斯白噪声模拟真实信道的情况,在送入低通滤波器滤波后采用相干解调的方式实现2ASK的解调,形成所要的波形.并通过改变输入序列的输入值来得到波形.  相似文献   

8.
利用DSP平台可移植性好的特点,设计了一种基于DSP与2FSK的无线数据传输平台,给出了系统的设计思路、平台硬件结构及主要算法程序流程.系统使用PLL和混频器实现了调制载波信号与550 MHz UHF信号之间的变换,并根据查表法和非相干解调原理,实现了基带信号的2FSK调制解调算法.当DSP工作在160 MHz频率时,解调一个二进制码元的时间为3 μs,满足系统设计要求.实际测试表明该系统可稳定运行.  相似文献   

9.
在简单介绍SystemView仿真软件和扩频通信的基础上,建立了直接序列扩频—2FSK通信系统的模型,介绍了该系统的基本工作原理。为了验证该系统的可行性以及在实际工程中的应用价值,系统发射端利用SystemView先对信号进行31位直接序列扩频仿真,再对此已扩频后的信号进行2FSK调制仿真,与此对应在接收端先对接收到的信号2FSK解调再进行解扩。仿真结果表明,通过用SystemView软件仿真,可以很方便地得到所设计电路的输出结果与分析波形。  相似文献   

10.
一种FSK信号调制解调电路的设计   总被引:1,自引:4,他引:1  
郭颖娜 《现代电子技术》2006,29(1):138-139,144
介绍了一种FSK信号调制解调电路的设计思想,发送端采用锁相环芯片CD4046实现了基带信号的FSK调制,接收端采用普通鉴频法进行解调,将FSK信号转换为ASK信号,并采用检波和低通滤波电路恢复出其基带信号。该电路具有结构简单、成本低廉、工作可靠等优点,可适用于低速电力线载波通信中。  相似文献   

11.
提出一种抛弃式海水温度测量仪数据传输系统的设计方案。针对传输信道距离长,波形畸变大的特点,采用频率调制的方法克服传输信道自身和海洋环境的不利条件。系统选用单片调制解调芯片MSM7512B对信号进行FSK调制和解调,实现了水下探头和水面控制单元的通信。采用低功耗的MSP430单片机作为运算处理器,软件设计上充分利用其多种低功耗工作模式,降低了系统的功耗。  相似文献   

12.
黄德强  徐勇  聂典 《电子器件》2009,32(4):812-816
数字信号的载波调制是数字通信中的关键技术,常用的载波调制技术有2ASK、2FSK、2PSK、QPSK、8PSK、4FSK等.这些电路的设计与验证用常规的方法来实现既费时又费力,借助于MultiSim10这一电子设计自动化工具,可以用VHDL建模的方法,实现基带信号数字调制的设计.使用在MultiSim10中创建的VHDL元件模型,设计出了基带信号常用的几种载波调制电路.通过观察分析电路输入输出波形,验证了载波调制和解调的实验结果.  相似文献   

13.
吴莉 《电子世界》2013,(23):80-80
本文基于SystemView系统仿真平台,设计了FSK通信系统的仿真模型,对参数进行设定,在模块中加入噪声源,模拟实际信道中的噪声。调制部分采用键控法产生FSK信号,解调部分采用过零检测法还原出伪随机序列。仿真结果表明,利用SystemView软件仿真,可以很有效地得到所设计电路的输出结果,体现了SystemView进行系统仿真的可行性与实用性。  相似文献   

14.
应答器2FSK信号的解调大多数采用时域解调的方式。文章将离散短时傅立叶变换(DSTST)应用到对应答器2FSK信号的解调,并且提供出一种简单可行的同步方法.对系统的硬件电路进行设计,包括A/D转换模块、RAM模块、算法模块、地址调整模块、判决模块.  相似文献   

15.
设计了一种无线环境监控模拟平台。系统采用FSK调制方式,用单片机AT89S51和STC89LE52为控制核心,利用单片窄带接收芯片MC3362完成FSK信号的解调;低功耗FPGA芯片EP1C3实现对调制前数据的编码和成帧以及解调后数据的解帧和纠错,完成高达32位的纠错码功能,使得数据稳定性大大提高,增强了整个系统的抗干扰能力。  相似文献   

16.
周志法  艾文  张尧琴 《电子科技》2012,25(3):121-123
基于FPGA的调制和解调的数字信号有多种,包括2ASK、2FSK、2PSK等,文中介绍了2FSK信号的调制与解调,以及该信号的功率谱。最后提供验证结果,证明仿真结果符合要求。  相似文献   

17.
根据数字信号FSK调制和解调的工作原理,采用层次化、模块化方法设计了一种基于FPGA芯片的FSK调制解调器;用数字键控法实现了调制,用过零检测法实现了全数字解调。同时结合系统功能实现的需要,设计了伪随机序列(m序列)模块。整个设计基于ALTERA公司的QuartusⅡ开发平台,并用Cyclone系列FPGA实现。所设计的调制解调器具有体积小、功耗低、集成度高、软件可移植性强、扰干扰能力强的特点,符合未来通信技术设计的方向。  相似文献   

18.
文章介绍了多进制数字调制中的频率解调。文中在多进制数字频率调制系统模型基础上,引入了M进制频率调制信号的表达式,采用嵌入傅氏变换理论和概率密度函数的方法,对M进制FSK信号的相位相干解调和非相干解调系统进行了分析,得到了系统的检测器采样值和最佳检测器将选择最大后验概率所对应的输出信号表述式的解析结果。  相似文献   

19.
刘艳 《电子世界》2014,(3):75-75
本论文通过MATLAB仿真设计程序,实现信号的2PSK调制解调的程序仿真。误码率是衡量一个数字通信系统性能的重要指标,预测对比各个解调方式的误码率结果,包括相干ASK、非相干ASK、相干FSK以及非相干FSK与PSK,结果表明在抗加性高斯白噪声方面,2PSK性能最好,2FSK次之,2ASK最差。  相似文献   

20.
用SDFT实现FSK调制信号的数字解调方法   总被引:1,自引:0,他引:1  
提出了一种新的FSK调制信号数字化解调的方法,该方法以移位离散傅立叶变换SDFT(Shifted Discrete Fourier Transforms)为基础。文中给出了一个8FSK信号进行数字化解调的仿真结果,计算机仿真结果表明,该方法能在较低信噪比(SNR)和较大多普勒频移条件下解调FSK信号,并具有较好的解调性能。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号