首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 156 毫秒
1.
肖继学  陈光 《微电子学》2008,38(3):358-363
对于VLSI中具有邻域子空间的电路模块,提出了一种高效测试生成方法.利用该方法得到了行波进位、超前进位加法器的测试生成,并予以了硬件实现.8位、16位和32位两种加法器的测试实验表明,这些测试生成能够使单固定型故障的故障覆盖率达到100%,双故障覆盖率分别达到99.996%以上以及100%,故障定位率得到了显著提高.测试矢量的数目仅与邻域子空间的大小有关.由于原电路中加法器的复用,两种加法器测试生成的硬件实现仅需额外的一个逻辑与门,将硬件开销降至最小.  相似文献   

2.
乔家庆  付平  尹洪涛 《电子学报》2007,35(12):2335-2338
测试集优化是数字电路测试的一个基本问题.本文提出了一种基于遗传排序的测试集优化方法,采用遗传算法对测试集的"矢量-故障"矩阵的行向量排列顺序进行优化,并采用行列消去法作为适应度评估方法.实验结果表明,基于遗传排序的测试集优化方法有效地减少了测试矢量的数目,而且保证了所得的测试集中不包含冗余测试矢量.  相似文献   

3.
电路测试神经网络方法中求多个测试矢量   总被引:7,自引:0,他引:7  
文章研究在数字电路测试的神经网络方法中求给定故障对应的多个测试矢量的方法,首先提出了一种求多个测试矢量的遗传进化方法,然后提出了一种矢量扰动方法,通过这两种者的结合使用,能获得被测电路较小的完备测订,从而提高了电路测试神经网络的方法的性能。  相似文献   

4.
多跳变(MT)故障模型是目前提出的具有完整故障覆盖率的一种总线测试故障模型,但其测试矢量集存在严重的矢量冗余。提出了一个基于路径遍历算法的测试矢量压缩方法,以MT模型为基础,经压缩简化后得到更适用于SoC总线测试的BMTC故障模型。实验结果表明,使用提出的压缩方法,可以在保证MT模型故障覆盖率不变的情况下,将测试矢量数减少至原来的1/8,从而大大节省总线测试成本,提高测试效率。  相似文献   

5.
基于模块化结构的N位加法器的测试生成   总被引:2,自引:0,他引:2  
曾平英  毛志刚 《微电子学》1998,28(6):396-400,411
针对单个stuck-at故障,研究了N位加法器的测试矢量生成问题,对于行波进位加法器,只需8个测试矢量就可得到100%的故障覆盖率;对于N位先行进位加法器,只需N^2+2N+3个测试矢量即可得到100%的故障覆盖率。  相似文献   

6.
在组合电路内建自测试过程中,为了保证在获得较高故障覆盖率的条件下,减少测试功耗,提出了一种确定性低功耗测试矢量的生成结构,该结构利用可配置反馈网络的LFSR作为确定性矢量生成器,并结合单翻转矢量插入逻辑的时钟复用原理,使确定性测试矢量间插入了单一跳变的测试矢量。通过对组合电路集ISCAS’85的实验,表明该设计不仅提高了故障覆盖率,缩短了测试时间,而且能有效降低电路的总功耗、平均功耗和峰值功耗。  相似文献   

7.
神经网络在组合电路故障模拟测试生成算法中的应用   总被引:8,自引:0,他引:8  
本文在基于故障模拟的测试生成算法基础上,提出了一种初始测试矢量的生成方法,即采用神经元网络模型来生成初始矢量,既避免了随机生成初始矢量的盲目性,又避免了确定性算法使用回溯所带来的大运算量。试验结果证明这种方法是有效的。  相似文献   

8.
一种基于受控LFSR的内建自测试结构及其测试矢量生成   总被引:6,自引:0,他引:6  
本文提出了一种基于受控线性反馈移位寄存器(LFSR)进行内建自测试的结构及其测试矢量生成方法。使用受控LFSR可以跳过伪随机测试序列中对故障覆盖率没有贡献的测试矢量,众而达到减少测试矢量长度,缩短测试时间的目的。  相似文献   

9.
本文概述了TPM(可信平台模块)安全芯片在信息安全建设中的重要作用,以及TPM安全芯片的特点及测试难点,在测试TPM安全芯片过程中,自动下载密码时,可以根据密码算法的具体情况,采用不同的方法实时生成密码测试图形.本文重点介绍2种在测试TPM安全芯片中测试图形实时生成的方法,这2种方法从生成特定的安全算法密码到对芯片进行密码写入都是自动的、连续的、实时的.经在泰瑞达J750测试平台上实现一款信息安全芯片量产测试,证明了这2种方法是可行性的,高效的.  相似文献   

10.
基于蚂蚁算法和遗传算法的时序电路测试生成   总被引:3,自引:0,他引:3  
为提高时序电路的测试生成效率,该文提出一种新的基于蚂蚁算法和遗传算法的时序电路测试矢量生成算法.针对国际标准时序电路的实验结果表明,该交叉算法既充分发挥了两种算法的优点,又克服了各自的缺点,与其它同类测试生成算法相比,获得了较好的故障覆盖率和测试集.说明采用蚂蚁算法和遗传算法的交叉算法是成功的.  相似文献   

11.
Reversible logic has gained interest of researchers worldwide for its ultra-low power and high speed computing abilities in the future quantum information processing. Testing of these circuits is important for ensuring high reliability of their operation. In this work, we propose an ATPG algorithm for reversible circuits using an exact approach to generate CTS (Complete Test Set) which can detect single stuck-at faults, multiple stuck-at faults, repeated gate fault, partial and complete missing gate faults which are very useful logical fault models for reversible logic to model any physical defect. Proposed algorithm can be used to test a reversible circuit designed with k-CNOT, Peres and Fredkin gates. Through extensive experiments, we have validated our proposed algorithm for several benchmark circuits and other circuits with family of reversible gates. This algorithm produces a minimal and complete test set while reducing test generation time as compared to existing state-of-the-art algorithms. A testing tool is developed satisfying the purpose of generating all possible CTS’s indicating the simulation time, number of levels and gates in the circuit. This paper also contributes to the detection and removal of redundant faults for optimal test set generation.  相似文献   

12.
组合电路桥接故障诊断的测试生成及优化   总被引:1,自引:0,他引:1  
在利用划分等价类的方法来诊断组合电路中桥接故障的基础上,本文提出了一种基于门特性的IDDQ测试集生成算法及对测试集排序筛选的优化方法.实验结果表明,将此方法应用于组合电路桥接故障的诊断可缩减测试集的大小,提高诊断的故障覆盖率.  相似文献   

13.
This paper proposes a test generation algorithm combining genetic algorithm for fault diagnosis on linear systems. Most test generation algorithms just used a single value fault model. This test generation algorithm is based on a continuous fault model. This algorithm can improve the treatment of the tolerance problem, including the tolerances of both normal and fault parameters, and enhance the fault coverage rate. The genetic algorithm can be used to choose the characteristic values. The genetic algorithm can enhance precision of test generation algorithm especially for complex fitness functions derived from complex systems under test. The genetic algorithm can also further improve the fault coverage rate by reducing the loop number of divisions of the initial fault range. The experiments are carried out to show this test generation algorithm with a linear system and an integrated circuit.  相似文献   

14.
In this paper, we present a test generation framework for quantum cellular automata (QCA) circuits. QCA is a nanotechnology that has attracted recent significant attention and shows promise as a viable future technology. This work is motivated by the fact that the stuck-at fault test set of a circuit is not guaranteed to detect all defects that can occur in its QCA implementation. We show how to generate additional test vectors to supplement the stuck-at fault test set to guarantee that all simulated defects in the QCA gates get detected. Since nanotechnologies will be dominated by interconnects, we also target bridging faults on QCA interconnects. The efficacy of our framework is established through its application to QCA implementations of MCNC and ISCAS'85 benchmarks that use majority gates as primitives  相似文献   

15.
The scan design is the most widely used technique used to ensure the testability of sequential circuits. In this article it is shown that testability is still guaranteed, even if only a small part of the flipflops is integrated into a scan path. An algorithm is presented for selecting a minimal number of flipflops, which must be directly accessible. The direct accessibility ensures that, for each fault, the necessary test sequence is bounded linearly in the circuit size. Since the underlying problem is NP-complete, efficient heuristics are implemented to compute suboptimal solutions. Moreover, a new algorithm is presented to map a sequential circuit into a minimal combinational one, such that test pattern generation for both circuit representations is equivalent and the fast combinational ATPG methods can be applied. For all benchmark circuits investigated, this approach results in a significant reduction of the hardware overhead, and additionally a complete fault coverage is still obtained. Amazingly the overall test application time decreases in comparison with a complete scan path, since the width of the shifted patterns is shorter, and the number of patterns increase only to a small extent.  相似文献   

16.
We propose an automatic test pattern generation (ATPG) framework for combinational threshold networks. The motivation behind this work lies in the fact that many emerging nanotechnologies, such as resonant tunneling diodes (RTDs), single electron transistor (SET), and quantum cellular automata (QCA), implement threshold logic. Consequently, there is a need to develop an ATPG methodology for this type of logic. We have built the first automatic test pattern generator and fault simulator for threshold logic which has been integrated on top of an existing computer-aided design (CAD) tool. These exploit new fault collapsing techniques we have developed for threshold networks. We perform fault modeling, backed by HSPICE simulations, to show that many cuts and shorts in RTD-based threshold gates are equivalent to stuck-at faults at the inputs and output of the gate. Experimental results with the MCNC benchmarks indicate that test vectors were found for all testable stuck-at faults in their threshold network implementations.  相似文献   

17.
Device scaling has led to the blurring of the boundary between design and test: marginalities introduced by design tool approximations can cause failures when aggressive designs are subjected to process variation. Larger die sizes are more vulnerable to intra-die variations, invalidating analyses based on a number of given process corners. These trends are eroding the predictability of test quality based on stuck-at fault coverage. Industry studies have shown that an at-speed functional test with poor stuck-at fault coverage can be a better DPM screen than a set of scan tests with very high stuck-at fault coverage. Contrary to conventional wisdom, we have observed that a high stuck-at fault test set is not necessarily good at detecting faults that model actual failure mechanisms. One approach to address the test quality crisis is to rethink the fault model that is at the core of these tests. Targeting realistic fault models is a challenge that spans the design, test and manufacturing domains: the extraction of realistic faults has to analyze the design at the physical and circuit levels of abstraction while taking into account the failure modes observed during manufacture. Practical fault models need to be defined that adequately model failing behavior while remaining amenable to automatic test generation. The addition of these fault models place increasing performance and capacity demands on already stressed test generation and fault simulation tools. A new generation of analysis and test generation tools is needed to address the challenge of defect-based test. We provide a detailed discussion of process technology trends that are responsible for next generation test problems, and present a test automation infrastructure being developed at Intel to meet the challenge.  相似文献   

18.
文章提出的模糊化的时序电路测试生成算法不明确指定故障点的故障值,它将故障值模糊化,并以符号表示。本算法第一阶段通过计算状态线和原始输出端的故障值来寻找测试矢量,通过计算故障点的正常值来 寻找测试矢量对应的故障类型;第二阶段用故障点的正常值作为约束条件计算故障点的另一个测试矢量。与传统的算法不同,它不需要回退和传播的过程。实验结果表明本算法具有较高的故障覆盖率和较少的测试时间。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号