首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Rapid thermal processing of semiconductors involves significant photonic and subsequent thermal excitation. In the past, photonic excitation during rapid thermal annealing had been speculated to lead to significant enhancement of dopant diffusion or activation. In this work we present some experimental results indicating the absence of any such enhancement at high temperatures (1000–1050°C) which most often are employed during the metal-oxide–semiconductor device processing. The implanted dopant (boron, arsenic or phosphorus) movement in silicon during different rapid thermal annealing conditions was studied using secondary ion mass spectroscopy (SIMS) technique. To understand the effect of point defects in controlling the diffusion process, the concentrations of charged and neutral point defects were calculated as a function of carrier concentration using previously published defect-carrier relations. The dependence of free carrier concentration on lattice perturbation parameters such as impurities and temperature was formulated and used in calculating carrier lifetimes (τ) in silicon. We qualitatively analyze two competing reactions, (i) the phonon release at the defect sites and (ii) the Auger electron process due to many electron interactions, to explain the apparent absence of any enhanced dopant diffusion. In our analyses, we obtain a highest free carrier lifetime of about 442 ns in the case of low dose (1e13/cm2) implanted sample during the transient stage (700°C) of the dopant activation cycle. The corresponding smallest (17 fs) free carrier lifetime was obtained for the high dose implanted sample (dopants already activated) at 1000°C, the steady state part of an extended anneal cycle. Based on the detailed free carrier lifetime analyses, we suggest that any enhanced dopant activation or diffusion, at the best, may occur only at very low temperatures in the samples implanted with low doses of dopant atoms.  相似文献   

2.
Traditional POCl3 diffusion is performed in large diffusion furnaces heated to ~850 C and takes an hour long. This may be replaced by an implant and subsequent 90‐s rapid thermal annealing step (in a firing furnace) for the fabrication of p‐type passivated emitter rear contacted silicon solar cells. Implantation has long been deemed a technology too expensive for fabrication of silicon solar cells, but if coupled with innovative process flows as that which is mentioned in this paper, implantation has a fighting chance. An SiOx/SiNy rear side passivated p‐type wafer is implanted at the front with phosphorus. The implantation creates an inactive amorphous layer and a region of silicon full of interstitials and vacancies. The front side is then passivated using a plasma‐enhanced chemical vapor deposited SiNxHy. The wafer is placed in a firing furnace to achieve dopant activation. The hydrogen‐rich silicon nitride releases hydrogen that is diffused into the Si, the defect rich amorphous front side is immediately passivated by the readily available hydrogen; all the while, the amorphous silicon recrystallizes and dopants become electrically active. It is shown in this paper that the combination of this particular process flow leads to an efficient Si solar cell. Cell results on 160‐µm thick, 148.25‐cm2 Cz Si wafers with the use of the proposed traditional diffusion‐free process flow are up to 18.8% with a Voc of 638 mV, Jsc of 38.5 mA/cm2, and a fill factor of 76.6%. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

3.
Low energy implantation is one of the most promising options for ultra shallow junction formation in the next generation of silicon BiCMOS technology. Among the dopants that have to be implanted, boron is the most problematic because of its low stopping power (large penetration depth) and its tendency to undergo transient enhanced diffusion and clustering during thermal activation. This paper reports an experimental study of secondary defect profiles of low energy B implants in crystalline silicon. Shallow p+n junctions were formed by low energy B implantation—1015 cm−2 at 3 keV—into a reference n-type crystalline silicon or pre-amorphized n-Si with germanium −1015 cm−2 at 30 keV, 60 keV, and 150 keV. Rapid Thermal Annealing (RTA) for 15 s at 950°C was then performed. Secondary defect profiles induced by this process are measured with isothermal transient capacitance in association with Deep Level Transient Spectroscopy (DLTS). Relatively high concentrations of electrically active defects have been obtained up to 3.5 μm into the crystalline silicon bulk. The relation of these defects with boron is discussed. The results of this study are in agreement with boron transient enhanced diffusion in Si-substrate as has been reported by Collart using Secondary Ion Mass Spectrometry (SIMS) measurements.  相似文献   

4.
Diffusion of implanted Sb and As in silicon layers with various contents of radiation defects is investigated during furnace thermal annealing and rapid thermal annealing (RTA). The defect concentration was varied by the additional introduction of Si+ ions. As the defect concentration in the layer increases, the antimony diffusivity increases both during lamp annealing and during furnace thermal annealing, which is governed by diffusion over excess vacancies. The As diffusivity increases with an increase in the concentration of radiation defects in the layer during the lamp annealing and decreases during furnace thermal annealing. The increase in the As diffusivity during RTA is attributed to interstitial Si atoms. The observed decrease in the As diffusivity is caused by the impurity capture by excess vacancies as the traps. However, at defect concentrations much higher than the impurity concentration, the As diffusivity increases but remains substantially lower than the intrinsic value. It follows from the results that the rate of intrinsic As diffusion along the interstitial channel is higher than along the vacancy channel.  相似文献   

5.
In this work we investigate the diffusion and the activation behavior of implanted phosphorus in Ge. We used both conventional thermal processing as well as laser annealing by pulsed ns Nd–YAG laser. Chemical profiles were obtained by secondary-ion-mass spectrometry (SIMS) and sheet resistance was estimated by Van der Pauw method. These measurements demonstrated a box-shaped dopant profile for both conventional and laser annealed samples which are in agreement with other research reports indicating enhanced dopant diffusivity. From these experiments and critical comparison with other studies we conclude about the value of the intrinsic diffusion coefficient and we discuss the validity of the doubly charged vacancy model in simulating our experiments. To more accurately account for these parameters we have also implemented a pileup and a segregation model to simulate the dopant loss due to outdiffusion of phosphorus during the annealing process. In order to understand the influence of defects on transient dopant diffusion as well as on outdiffusion we have also annealed P implanted Ge prior to conventional annealing with laser above melting threshold to eliminate ion implantation defects as these are monitored by transmission electron microscopy.  相似文献   

6.
A simulation model is proposed for boron diffusion in silicon. It is especially useful for analyzing the short time annealing process subsequent to ion implantation. This model takes into account nonequilibrium diffusion and reactions of point defects and defect-dopant pairs, considering their charge states, and the dopant inactivation by the introduction of a boron clustering reaction. It is assumed that the boron-interstitial-silicon pair (BI) is a dominant diffusion species that contributes to the total boron diffusion. A primary model parameter, the binding energy of BI, is determined and used to reproduce the equilibrium gaseous source diffusion data. Using a single set of reasonable parameter values, the model covers not only the equilibrium diffusion conditions, from intrinsic, but also the nonequilibrium postimplantation diffusion. Experimental boro distribution profiles can be accurately reproduced. It is shown that the time constant for the BI dissociation reaction rules the transient behavior of boron diffusion enhancement during postimplantation annealing  相似文献   

7.
Rapid thermal annealing (RTA) with incoherent light from tungsten lamps shows high potential relative to the conventional furnace annealing (FA) to activate the implanted dopant. Due to the short time annealing, it could completely eliminate the re-diffusion of dopant and host atom. For the Si implantation with dose of 2 × 1014 cm2, the electrical activity of 78% for RTA was higher than that of the FA. But for this short time, some defects measured by deep level transient spectroscopy (DLTS) were hard to remove. A two-step annealing was suggested by the combination of high temperature RTA (1000° C) and FA (700° C). After the post-FA, the defects would be removed to a great extent, and the electrical activity of dopant also increased. With the dose of 2 x 1013 cm-2, the activity attained after the two step annealing was 92.5%, which may be the highest value according to our knowledge for rapid thermal annealing on Si ion implanted GaAs.  相似文献   

8.
n+/p ultra-shallow junctions formed by PH3 plasma immersion ion implantation (PIII) have been studied and diodes with good electrical characteristics have been obtained. The influence of annealing conditions and carrier gas on junction depth and sheet resistance have been studied. It is found that a higher content of H and/or He in silicon can slow down the diffusion of phosphorus and the activation ability of implanted dopant ions in silicon; a shallower junction can been obtained with He rather than H2 as the carrier gas; and the influence of annealing at 850°C for 20 s on sheet resistance is opposite to that of annealing at 900°C for 6 s on sheet resistance. In addition, mechanisms of unusual electrical characteristics for some diodes are discussed and analyzed in this paper.  相似文献   

9.
Rapid thermal annealing (RTA) technology offers potential advantages for GaAs MESFET device technology such as reducing dopant diffusion and minimizing the redistribution of background impurities. LEC semi-insulating GaAs substrates were implanted with Si at energies from 100 to 400 keV to doses from 1 × 1012 to 1 × 1014/cm2. The wafers were encapsulated with Si3N4 and then annealed at temperatures from 850-1000° C in a commercial RTA system. Wafers were also annealed using a conventional furnace cycle at 850° C to provide a comparison with the RTA wafers. These implanted layers were evaluated using capacitance-voltage and Hall effect measurements. In addition, FET’s were fabricated using selective implants that were annealed with either RTA or furnace cycles. The effects of anneal temperature and anneal time were determined. For a dose of 4 × 1012/cm2 at 150 keV with anneal times of 5 seconds at 850, 900, 950 and 1000° C the activation steadily increased in the peak of the implant with overlapping profiles in the tail of the profiles, showing that no significant diffusion occurs. In addition, the same activation could be obtained by adjusting the anneal times. A plot of the equivalent anneal times versus 1/T gives an activation energy of 2.3 eV. At a higher dose of 3 × 1013 an activation energy of 1.7 eV was obtained. For a dose of 4 × 1012 at 150 keV both the RTA and furnace annealing give similar activations with mobilities between 4700 and 5000 cm2/V-s. Mobilities decrease to 4000 at a dose of 1 × 1013 and to 2500 cm2/V-s at 1 × 1014/cm2. At doses above 1 × 1013 the RTA cycles gave better activation than furnace annealed wafers. The MESFET parameters for both RTA and furnace annealed wafers were nearly identical. The average gain and noise figure at 8 GHz were 7.5 and 2.0, respectively, for packaged die from either RTA or furnace annealed materials.  相似文献   

10.
Recently a 150 keV, 2 × 1012 cm−2, Si29 implant, with furnace annealing at 850° C for 10 min with a GaAs proximity wafer, has been proposed as a standard qualification test for semi-insulating GaAs. In general, the electrical activation efficiency of implanted wafers is determined either from Hall effect data or from capacitance-voltage (C-V) data; however, the Hall effect method requires sizable depletion corrections at low implant doses. In this paper, we examine the proposed standard, and the methods of determining activation, from three points of view: (1) rapid-thermal annealing (RTA) vs furnace annealing; (2) a Si proximity cap vs a GaAs proximity cap; and (3) Hall effect vs C-V. Our conclusions are: (1) RTA produces higher activation efficiencies, at least for our particular wafers, than furnace annealing; (2) Si and GaAs proximity caps produce nearly equivalent activation efficiencies; and (3) the Hall effect, when corrected for depletion, is a useful technique for measuring activation efficiency, and appears to be more accurate than the C-V technique.  相似文献   

11.
Boron out-diffusion in epitaxially grown n-Si/p+-Si1-xGex/n-Si heterojunction bipolar transistors is significantly enhanced during 850°C, 10 s rapid thermal annealing following arsenic emitter contact implantation. In this paper, we introduce three techniques which dramatically reduce boron out-diffusion during implant activation. Limiting the post-implant processing to 600°C for 2 min results in minimal diffusion giving acceptable device performance. A second technique involves pulsed laser annealing of the As implant, which removes residual defects and eliminates enhanced diffusion during subsequent thermal processing. Finally, we show that high bulk concentrations of oxygen in the Si1-xGex (∼1020 cm-3) dramatically reduce the implant-damage-enhanced boron diffusion. In addition to the depth profiles, electrical measurements performed on heterojunction bipolar transistors, incorporating these fabrication techniques, show ideal collector current characteristics and confirm the absence of deleterious boron out-diffusion effects.  相似文献   

12.
A model of the diffusion-segregation redistribution of phosphorus in an SiO2/Si system during thermal oxidation of highly doped silicon layers is developed taking into account the formation of a peak of surface impurity concentration at the interface. The formation of this surface concentration peak is attributed to a change in the free energy of the impurity atoms near the silicon surface. This process is simulated by a diffusion-segregation equation. It is shown that the developed diffusion-segregation model is quite adequate for describing the phosphorus redistribution occurring during the oxidation of uniformly doped silicon layers. For the oxidation of implanted silicon layers, it was found that the segregation coefficient of the phosphorus at the SiO2/Si interface is not constant but depends on time in the same way as the efficiency of transient enhanced diffusion in silicon. This phenomenon is explained by the reactivity of the impurity segregation during the thermal oxidation of silicon, when excess point defects in the implanted silicon layer affect both the oxidation process and the capture of impurity atoms by the growing silicon dioxide.  相似文献   

13.
The effect of ion implantation dose rate and implant temperature on the transient enhanced diffusion (TED) of low energy boron implants into silicon was investigated. The implant temperature was varied between 5 and 40°C. The beam current was varied from 0.035 to 0.35 mA/cm2. Three different defect regimes were investigated. The first regime was below the formation of any extended defects (5 keV B+ 2 × 1014/cm2) visible in the transmission electron microscope. The second regime was above the {311} formation threshold (2×1014/cm2) but below the subthreshold (type I) dislocation loop formation threshold. The final regime was above both the {311} and dislocation loop formation threshold (10 keV 5×1014/cm2). TED for these conditions is shown to be over after annealing at 750°C for 15–30 min. Secondary ion mass spectroscopy results for the three different damage regimes indicate that there is no measurable effect of dose rate or implant temperature on TED of boron implanted silicon for any of the damage regimes. It should be emphasized that the dose and energy of the boron implants is such that none of these implants approached the amorphization threshold. Above amorphization dose rate and implant temperature have dramatic effects on TED, but it appears that below the amorphization threshold there is little effect. These results suggest that for a given energy it is the ion dose not the extent of the implant damage that determines the extent of TED in boron implanted silicon.  相似文献   

14.
Reactive ion etching (RIE) of silicon creates interstitial defects in the near surface region which diffuse into the bulk material and are trapped at substitutional carbon sites. Photoluminescence (PL), current-voltage (I–V), and Rutherford backscattering (RBS) measurements show that silicon etched in a CF4 + 8%O2 or SF6 + 8%O2 plasma consists of two distinct regions, adisplacement damage region extending 1000Å from the surface and apoint defect reaction region which can extend to depths > 1 μm. The size of the point defect reaction region is determined by diffusion limited trapping of the interstitial silicon generated during the RIE resulting in the formation ofC i - Oi orC s -Ci defect pairs. The long range diffusion rate of the interstitial defects is enhanced by the plasma during the RIE processing, and by a recombination enhanced reaction path.  相似文献   

15.
The influence of low-temperature annealing on the photoluminescence of GaAs/AlGaAs single-quantum-well structures treated in a low-energy CF4 plasma is investigated. It is established that annealing at 160–300 °C causes a decrease of the photoluminescence intensity of the quantum wells located in the near-surface region, while annealing at 350–450 °C leads to partial restoration of their photoluminescence. The activation energy for the diffusion of plasma-produced point defects and the activation energy for the annealing of these defects are determined. These energies are equal to 150 and 540 meV, respectively. It is discovered that the photoluminescence of the quantum wells near the substrate, which had a low intensity in the as-grown sample, increases after treatment in the plasma and decreases after subsequent annealing monotonically with increasing annealing temperature. Repeated treatment in a CF4 plasma leads to a repeated increase in the photoluminescence intensity of these quantum wells. It is theorized that the defects induced by the CF4 plasma form complexes with defects introduced during growth and that these complexes are not recombination centers. After low-temperature annealing, the complexes dissociate, and the nonradiative recombination centers are recreated. Fiz. Tekh. Poluprovodn. 32, 1450–1455 (December 1998)  相似文献   

16.
<正> 浅结制备是超大规模集成电路发展的关键技术之一。硅中硼、磷等杂质注入,在退火时发生异常扩散,使浅结的控制困难。异常扩散是一个瞬态快速扩散过程。对于硼,在退火开始时,杂质分布尾部推移极快,随之减慢,恢复正常扩散。这一过程用衰变时间表征。  相似文献   

17.
Boron activation and carrier mobility were measured after low temperature furnace heat treatments, in silicon layers implanted with BF 2 + ions at 60 keV and at fluence in the 1 − 5 × 1015 ions cm−2 range. These quantities were correlated with boron and fluorine chemical depth profiles obtained with secondary ion mass spectrometry (SIMS), and with the lattice defects revealed by transmission electron microscopy (TEM). High dopant activation, well above the extrapolated boron solid solubility, was found for all the fluences investigated after a thermal treatment of 20 min at 600‡ C. In the high fluence implanted samples, the solid phase epitaxial regrowth of the amorphous layer induces a severe fluorine redistribution which causes the formation of a defective band at the sample surface containing microtwins and small precipitates; a decrease in both the activated dopant concentration and carrier mobility was found in this region. The comparison with dopant activation data obtained in samples diffused at higher temperature (from 900 to 1000‡ C) shows that twins are electrically active only when they are decorated by isolated impurities and/or in presence of very small precipitates.  相似文献   

18.
Measurements of capacitance-voltage characteristics and Rutherford backscattering were used to study the parameters of silicon diffusion from preliminarily formed n-type layers into semi-insulating GaAs under electron-beam annealing and conventional heat treatment. The layers were doped with either sulfur or silicon. The degree of 28Si electrical activation and 28Si diffusion coefficient are found to depend on the dopant used to form the n-type layer and on the implantation conditions (continuous or pulsed-repetitive, with a pulse width of 1.3×10?2 s and duty factor of 100).  相似文献   

19.
Capacitance transient spectroscopy is used to study defects in chips of fully fabricated silicon solar cells. Characteristic differences are observed as a function of the crystal growth type (crucible grown or float zoned) and dopant (boron or aluminum) of the starting material, processing variables (diffused or implanted junctions, electron beam or furnace annealing) and radiation environment (1 MeV electron irradiation).  相似文献   

20.
Implants of boron molecular ions into silicon have been studied using a variety of experimental techniques, but with emphasis on sheet resistance annealing characteristics and transmission electron microscopy. Boron halide compound molecules have been implanted and equivalent dose sequential implants of atomic species used as control conditions. The implants studied were B+, BCl2+, BCl+, Cl+ + B+, BF2+, BF+ and B+ + F+ at 25 keV/B atom and B+, BBr2+ and Br2+ + B+ at 12 keV/B atom.The implantation of molecular ions enables conditions of varying damage to be studied with constant dose, dose rate and energy of the dopant species. In addition to damage effects the halogen atoms produce species effects in the implanted zone. The escape of the halogen atoms has been measured as a function of the annealing temperature.The significant differences which exist between the behaviour of silicon implanted with these various conditions are considered with reference to the damage structures observed by transmission electron microscopy. The boron-fluorine molecular implants are shown to offer some advantages as a means of implanting boron.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号