首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 23 毫秒
1.
Nanolayered TiN/CrN multilayer coatings were deposited on silicon substrates using a reactive DC magnetron sputtering process at various modulation wavelengths (Λ), substrate biases (VB) and substrate temperatures (TS). X-ray diffraction (XRD), nanoindentation and atomic force microscopy (AFM) were used to characterize the coatings. The XRD confirmed the formation of superlattice structure at low modulation wavelengths. The maximum hardness of the TiN/CrN multilayers was 3800 kg/mm2 at Λ=80  Å, VB=−150 V and TS=400°C. Thermal stability of TiN, CrN and TiN/CrN multilayer coatings was studied by heating the coatings in air in the temperature range (TA) of 400-800°C. The XRD data revealed that TiN/CrN multilayers retained superlattice structure even up to 700°C and oxides were detected only after TA?750°C, whereas for single layer TiN and CrN coatings oxides were detected even at 550°C and 600°C, respectively. Nanoindentation measurements showed that TiN/CrN multilayers retained a hardness of 2800 kg/mm2 upon annealing at 700°C, and this decrease in the hardness was attributed to interdiffusion at the interfaces.  相似文献   

2.
This paper presents an investigation regarding influence of substrate temperature during deposition on the characteristics of TiN/TiC bilayers grown by plasma-assisted pulsed arc discharge. The substrate temperatures were 50, 100 and 150 °C. The gases used in the experiment were N2 for TiN and CH4 for TiC. These coatings were studied by X-ray diffraction techniques determining the variation of the lattice parameters for each film. The Scherrer equation was used to calculate the crystallite size and the micro-strain. The X-rays analysis showed an increase in the substrate temperature made the formation of TiC in (2 0 0) orientation favorable. The sample grown at 50 °C presented low crystallinity and it was increased as the substrate temperature increases. Using a scanning probe microscopy, with atomic force microscopy, phase detection microscopy and force modulation microscopy modes, the morphology of the deposited layer was studied and macro-particles were observed using gray scales to identify differences in the friction coefficient and material composition in the coatings. It has been found that the increment of the substrate temperature decreases the condensation of microdroplets on the surface. Lateral force microscopy was used to calculate friction coefficient of the TiN and TiC monolayers and we found that the friction coefficient is smaller for TiC than for TiN.  相似文献   

3.
A break of wiring by stress-migration becomes a problem with an integrated circuit such as LSI. The present study investigates residual stress in SiO2/Cu/TiN film deposited on glass substrates. A TiN layer, as an undercoat, was first deposited on the substrate by arc ion plating and then Cu and SiO2 layers were deposited by plasma coating. The crystal structure and the residual stress in the deposited multi-layer film were investigated using in-lab. X-ray equipment and a synchrotron radiation device that emits ultra-high-intensity X-rays. It was found that the SiO2 film was amorphous and both the Cu and TiN films had a strong {1 1 1} orientation. The Cu and TiN layers in the multi thick (Cu and TiN:1.0 μm)-layer film and multi thin (0.1 μm)-layer film exhibited tensile residual stresses. Both tensile residual stresses in the multi thin-layer film are larger than the multi thick-layer film. After annealing at 400 °C, these tensile residual stresses in both the films increased with increasing the annealing temperature. Surface swelling formations, such as bubbles were observed in the multi thick-layer film. However, in the case of the multi thin-layer films, there was no change in the surface morphology following heat-treatment.  相似文献   

4.
TiN/CNx multilayer films with bilayer periods of 4.5-40.3 nm were deposited by direct-current magnetron sputtering. Layer morphology and structure of the multilayered films were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy and transmission electron microscopy. The TiN/CNx multilayers exhibited coherent epitaxial growth due to the mutual growth-promoting effect at small bilayer period and some crystalline regions going through the interface of TiN/CNx. Nanoindentation tests showed that the hardness of the multilayers varied from 12.5 to 31 GPa, with the highest hardness being obtained with a bilayer period of 4.5 nm. The tribological properties of the films were investigated using a ball-on-disk tribometer in humid air, and the TiN/CNx multilayer with a bilayer period of 4.5 nm also exhibited the lowest friction coefficient and the highest wear resistance.  相似文献   

5.
Multifunctional nanocomposites consisting of at least one ferromagnetic phase (e.g. FeCo) and one protective, wear resistant phase (e.g. TiN) are of interest for applications as sensors or actuators in harsh environments. This paper reports on the fabrication and characterization of nanocomposite thin films, prepared from FeCo/Ti metallic precursor multilayer composition spreads using a combinatorial sputter-deposition system. After deposition, the composition spread was annealed in nitrogen (5 × 105 Pa pressure) at 850 °C for 1.5 h, leading to preferential nitriding of Ti to TiN, thus forming the protective phase. Automated energy dispersive X-ray analysis, Auger electron spectroscopy, X-ray diffraction measurements, transmission electron microscopy (TEM) and vibrating sample magnetometry were used for the characterization of the as deposited and nitrided composition spreads. As an unexpected result, the appearance of a Heusler phase (Co2FeSi) in the nanocomposite was observed by TEM. After N2 annealing, the nanocomposites show reduced saturation magnetization values μ0MS between 0.5 and 0.95 T and improved coercive field values μ0Hc between 4 and 13.8 mT, dependent on the TiN content.  相似文献   

6.
The Al/Ni multilayers were characterized and diffusion bonding of TiAl intermetallics to TiC cermets was carried out using the multilayers. The microstructure of Al/Ni multilayers and TiAl/TiC cermet joint was investigated. The layered structures consisting of a Ni3(AlTi) layer, a Ni2AlTi layer, a (Ni,Al,Ti) layer and a Ni diffusion layer were observed from the interlayer to the TiAl substrate. Only one AlNi3 layer formed at the multilayer/TiC cermet interface. The reaction behaviour of Al/Ni multilayers was characterized by means of differential scanning calorimeter (DSC) and X-ray diffraction. The initial exothermic peak of the DSC curve was formed due to the formation of Al3Ni and Al3Ni2 phases. The reaction sequence of the Al/Ni multilayers was Al3Ni → Al3Ni2 → AlNi → AlNi3 and the final products were AlNi and AlNi3 phases. The shear strength of the joint was tested and the experimental results suggested that the application of Al/Ni multilayers improved the joining quality.  相似文献   

7.
Au and Ti/Au layers were deposited on n-GaN. The samples were annealed at 400, 700 and 900 °C for 10 min in vacuum. The contacts were rectifying up to 700 °C and the highest Schottky barrier height of 1.07 eV was obtained for an Au single layer by current-voltage measurements. A binary phase of Au2Ga was identified at the interface of the n-GaN/Ti/Au contact after annealing at 900 °C. The formation of Ti2N and TiN (twin) phases epitaxially grown on GaN was also observed in the same contact as well as some gold diffusion into the topmost region of the GaN epilayer.  相似文献   

8.
Ni/Cu multilayers were electrodeposited from a single solution electrolyte by galvanostatic method. Interface roughness, magnetization and magneto-transport studies of Ni/Cu multilayers on Si(1 1 1)/Ti/Cu substrate were carried out for samples deposited from three different electrolytes, viz. pure sulphate, sulphate–citrate and sulphate-polyethylene glycol-8000 (PEG-8000). The top Ni-layer morphology of these samples was characterized by atomic force microscope (AFM). Detailed analysis of the morphological data showed a typical two-dimensional fractal growth pattern in all the three cases. The structural parameters like interface roughness, density and thicknesses of Ni and Cu layers were extracted from neutron reflectivity (NR) study. The order of interface roughness obtained from NR and AFM was found to be quite close. The sample deposited from sulphate–citrate electrolyte was found to have minimum interface roughness. The polarized neutron reflectometry (PNR) measurement showed reduced magnetic moment value (∼0.41 ± 0.01 μB) for nickel layers compared to bulk value in all the three samples. The magnetoresistance (MR) of these samples were measured at room temperature. An attempt has been made to explain the observed MR results in terms of granular structure and scattering mechanism involving super-paramagnetic and ferromagnetic particles in these samples.  相似文献   

9.
The aim of this work is to characterize the electrochemical behavior of [TiN/TiAlN]n multilayer coatings under corrosion-erosion condition. The multilayers with bilayer numbers (n) of 2, 6, 12, and 24 and/or bilayer period (Λ) of 1500 nm, 500 nm, 250 nm, 150 nm and 125 nm were deposited by magnetron sputtering technique on Si (100) and AISI 1045 steel substrates. Both, the TiN and the TiAlN structures for multilayer coatings were evaluated via X-ray diffraction analysis. Mechanical and tribological properties were evaluated via nanoindentation measurements and scratch test respectively. Silica particles were used as abrasive material on corrosion-erosion test in 0.5 M of H2SO4 solution at impact angles of 30° and 90° over surface. The electrochemical characterization was carried out using polarization resistance technique (Tafel), in order to observe changes in corrosion rate as a function of the bilayer number (n) or the bilayer period (Λ) and the impact angle. Corrosion rate values of 9115 μm y for uncoated steel substrate and 2615 μm y for substrate coated with n = 24 (Λ = 125 nm) under an impact angle of 30° were found. On the other hand, for an impact angle of 90° the corrosion rate exhibited 16401 μm y for uncoated steel substrate and 5331 μm y for substrate coated with n = 24 (Λ = 125 nm). This behavior was correlated with the curves of mass loss for both coated samples and the surface damage was analyzed via scanning electron microscopy images for the two different impact angles. These results indicate that TiN/TiAlN multilayer coatings deposited on AISI 1045 steel represent a practical solution for applications in corrosive-erosive environments.  相似文献   

10.
Thin films of TiAlSiN were deposited on SKD 11 tool steel substrates using two cathodes, of Ti and Al-15 at.% Si, in a cathodic arc plasma deposition system. The influence of AlSi cathode arc current and substrate bias voltage on the mechanical and structural properties of the films was investigated. The TiAlSiN films had a multilayered structure in which nanocrystalline cubic TiN layers alternated with nanocrystalline hexagonal AlSiN layers. The hardness of the films decreased with the increase of the AlSi cathode arc current. The hardness of the films also decreased as the bias voltage was raised from − 50 V to − 200 V. The maximum hardness of 43 GPa was observed at the films deposited at the pressure 0.4 Pa, Ti cathode arc current 55 A, Al cathode arc current 35 A, temperature 250 °C and bias voltage of − 50 V.  相似文献   

11.
Plastic deformation of TiN5 nm/SiN0.5 nm multilayers by nanoindentation was investigated by transmission electron microscopy in order to identify deformation mechanisms involved in film failure resulting from severe plastic deformation. The TiN layers exhibited a crystalline fcc structure with a [002] preferential orientation; further crystal growth was interrupted by the amorphous SiNx layers. After severe plastic deformation collective vertical displacement of slabs of several TiN/SiNx-bilayers, which resulted from shear sliding at TiN/TiN grain boundaries, was observed. They are, together with horizontal fractures along the SiNx layers, vertical cracks under the indenter tip following the TiN grain boundaries and delamination from the substrate, the predominant failure mechanisms of these coatings. The deformation behaviour of these films provides an experimental support for the absence of dislocation activity in grains of 5 nm size.  相似文献   

12.
Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH4/Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH3)2N]4), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating.  相似文献   

13.
Thin HfO2 films were grown as high-k dielectrics for Metal-Insulator-Metal applications by Atomic Vapor Deposition on 8 inch TiN/Si substrates using pure tetrakis(ethylmethylamido)hafnium precursor. Influence of deposition temperature (320-400 °C) and process pressure (2-10 mbar) on the structural and electrical properties of HfO2 was investigated. X-ray diffraction analysis showed that HfO2 layers, grown at 320 °C were amorphous, while at 400 °C the films crystallized in cubic phase. Electrical properties, such as capacitance density, capacitance-voltage linearity, dielectric constant, leakage current density and breakdown voltage are also affected by the deposition temperature. Finally, TiN/HfO2/TiN stacks, integrated in the Back-End-of-Line process, possess 3 times higher capacitance density compared to standard TiN/Si3N4/TiN capacitors. Good step coverage (> 90%) is achieved on structured wafers with aspect ratio of 2 when HfO2 layers are deposited at 320 °C and 4 mbar.  相似文献   

14.
Sol-gel derived Pb40Sr60TiO3 (PST) thin film has been investigated as a diffusion barrier for integrating in PbZr30Ti70O3 (PZT) device structures on Si substrates. PST film was deposited on SiO2/Si substrate and annealed at a relatively low temperature range of 550-600 °C producing a crack-free, smooth and textured surface. Following deposition on PST/SiO2/Si template PZT thin film was crystallised exhibiting random grain orientations and an insertion of the bottom Pt/Ti electrode forming PZT/Pt/Ti/PST/SiO2/Si stacks promoted the preferred PZT (111) perovskite phase. PZT (111) peak intensity gradually decreased along with slight increase of the PZT (110) peak with increasing annealing temperature of the buffer PST film. The dielectric and ferroelectric properties of the PZT with barrier PST deposited at 550 °C were assessed. The dielectric constant and loss factor were estimated as 390 and 0.034 at 100 kHz respectively and the remnant polarisation was 28 µC/cm2 at 19 V. The performance of the PZT/PST device structures was compared to similar PZT transducer stacks having widely used barrier TiO2 layer.  相似文献   

15.
The (AlN, TiN)-Al2O3 composites were fabricated by reaction sintering powder mixtures containing 10-30 wt.% (Al, Ti)-Al2O3 at 1420-1520°C in nitrogen. It was found that the densification and mechanical properties of the sintered composites depended strongly on the Al, Ti contents of the starting powder and hot pressing parameters. Reaction sintering 20 wt.% (Al, Ti)-Al2O3 powder in nitrogen in 1520°C for 30 min yields (AlN, TiN)-Al2O3 composites with the best mechanical properties, with a hardness HRA of 94.1, bending strength of 687 MPa, and fracture toughness of 6.5 MPa m1/2. Microstructure analysis indicated that TiN is present as well dispersed particulates within a matrix of Al2O3. The AlN identified by XRD was not directly observed, but probably resides at the Al2O3 grain boundary. The fracture mode of these composites was observed to be transgranular.  相似文献   

16.
Near equiatomic Ni-Ti films have been deposited by magnetron co-sputtering on TiN films with a topmost layer formed by < 111> oriented grains (TiN/SiO2/Si(100) substrate) in a chamber installed at a synchrotron radiation beamline. In-situ X-ray diffraction during Ni-Ti film growth and their complementary ex-situ characterization by Auger electron spectroscopy, scanning electron microscopy and electrical resistivity measurements during temperature cycling have allowed us to establish a relationship between the structure and processing parameters.A preferential development of < 110> oriented grains of the B2 phase since the beginning of the deposition has been observed (without and with the application of a substrate bias voltage of −45 and −90 V). The biaxial stress state is considerably influenced by the energy of the bombarding ions, which is dependent on the substrate bias voltage value applied during the growth of the Ni-Ti film. Furthermore, the present work reveals that the control of the energy of the bombarding ions is a promising tool to vary the transformation characteristics of Ni-Ti films, as shown by electrical resistivity measurements during temperature cycling.The in-situ study of the structural evolution of the growing Ni-Ti film as a consequence of changing the Ti:Ni ratio during deposition (on a TiN<111> layer) has also been performed. The preferential growth of < 110> oriented grains of the Ni-Ti B2 phase has been as well observed despite the precipitation of Ti2Ni during the deposition of a Ti-rich Ni-Ti film fraction. Functionally graded Ni-Ti films should lead to an intrinsic “two-way” shape memory effect which is a plus for the miniaturization of Ni-Ti films based devices in the field of micro-electro-mechanical systems.  相似文献   

17.
The effect of the base pressure on the incorporation of oxygen into reactively magnetron-sputtered metal-nitride films has been investigated. A UHV sputtering system with a base pressure of less than 10−6 Pa was used to examine the relationship between a deliberately introduced background pressure of oxygen and a measured oxygen content in the sputter-deposited TiN films. The results showed that with an oxygen partial pressure of 10−4 Pa, the deposited TiN was found to include 10-20 at.% of oxygen when measured by the technique of X-ray photoelectron spectroscopy (XPS). When no oxygen was admitted into the system, no trace of oxygen could be detected in the deposited TiN films. The incorporation mechanism is discussed in terms of the coverage-dependent sticking probabilities of O2 and N2 on a Ti metal surface.  相似文献   

18.
Titanium nitride (TiN) thin films were prepared by means of reactive DC sputtering on quartz and sapphire substrates. Structural, electrical and optical effects of deposition parameters such as thickness, substrate temperature, substrate bias voltage were studied. The effect of substrate temperature variations in the 100-300°C range and substrate bias voltage variations in the 0-200 V DC range for 45-180 nm thick TiN films were investigated. Temperature-dependent electrical resistivity in the 100-350 K range and optical transmission in the 300-1500 nm range were measured for the samples. In addition, structural and morphological properties were studied by means of XRD and STM techniques.The smoothest surface and the lowest electrical resistivity was recorded for the optimal samples that were biased at about Vs=−120 V DC. Unbiased films exhibited a narrow optical transmission window between 300 and 600 nm. However, the transmission became much greater with increasing bias voltage for the same substrate temperature. Furthermore, it was found that lower substrate temperatures produced optically more transparent films.Application of single layers of MgF2 antireflecting coating on optimally prepared TiN films helped increase the optical transmission in the visible region to more than 40% for 45 nm thick samples.  相似文献   

19.
CexAlyOz thin films were deposited on TiN metal electrode by metalorganic chemical vapour deposition method at 400 °C. The detailed physical characterization on CexAlyOz/TiN stack upon annealing at different temperatures (600 °C and 850 °C) and for different deposition methods (Atomic vapour deposition (AVD) and Physical vapour deposition (PVD)) of electrode material were done for possible Metal-Insulator-Metal applications. X-ray diffraction results exhibited that the dielectric and TiN(AVD) are amorphous while TiN(PVD) is crystalline for the as deposited stacks. Annealing on CexAlyOz/TiN(AVD) at 600 °C, initiates CeO2 crystallization in the dielectric with composition of Ce:Al = 0.5 as obtained by X-ray photoelectron spectroscopy. In CexAlyOz/TiN(PVD) stack, the dielectric remains in its amorphous state until 850 °C. However, TiO2 crystallization is formed at 600 °C in CexAlyOz/TiN(PVD). Time of flight secondary ion mass spectroscopy depth profiling data proves that the annealing at 600 °C caused the oxidation of both the metal electrodes and the inter-diffusion of Ti from the bottom metal electrode through the dielectric layer.  相似文献   

20.
Z.L. Wu 《Thin solid films》2009,517(24):6553-6557
Intermetallics formation in the Fe/Ti nanometer-scale multilayers magnetron-sputtering deposited on Si(100) substrate during thermal annealing at 623-873 K was investigated by using small and wide angle X-ray diffraction and cross-sectional high-resolution transmission electron microscopy. The Fe/Ti nanometer-scale multilayers were constructed with bilayer thickness of 16.2 nm and the sublayer thickness ratio of 1:1. At the annealing temperature of 623 K, intermetallics FeTi were formed by nucleation at the triple joins of α-Fe(Ti)/α-Ti interface and α-Ti grain boundary with an orientational correlation of FeTi(110)//α-Ti(100) and FeTi[001]//α-Ti[001] to adjacent α-Ti grains. The lateral growth of intermetallics FeTi which is dependent on the diffusion path of Ti led to a coalescence into an intermetallic layer. With an increase in the annealing temperature, intermetallics Fe2Ti were formed between the intermetallics FeTi and the excess Fe due to the limitation of Fe and Ti atomic concentrations, resulting in the coexistence of intermetallics FeTi and Fe2Ti. It was found that the low energy interface as well as the dominant diffusion path constrained the nucleation and growth of intermetallics during interfacial reaction in the nanometer-scale metallic multilayers.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号