首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
Extreme scaling in both silicon and alternative channel CMOS has highlighted the importance of localized characterization on the nanometer scale. We have used a conductive-contact atomic force microscopy (C-AFM) technique in ultra high vacuum (UHV) conditions to analyze and compare intrinsic stack degradation mechanisms leading to breakdown (BD) for ultrathin high-k dielectric films of (4 nm) HfxSiOy/SiO2 on Si and (2 nm) ZrO2/GeO2 on Ge. Simultaneous nanoscale current–voltage IV characteristics, topography, tunneling current and relative tip–surface contact interactions as normal and lateral force maps revealed localized injected charge dependence on electrical stress. It is shown that the charge can propagate laterally. Successive voltage scanning is related to the overall post-BD conductivity for pre- to post-BD degradation propagation. In contrast with SiO2 interface, an increased GeO2 interlayer reactivity yielding more active interface defects is suggested.  相似文献   

2.
The trapping/detrapping behavior of charge carriers in ultrathin SiO2/TiO2 stacked gate dielectric during constant current (CCS) and voltage stressing (CVS) has been investigated. Titanium tetrakis iso-propoxides (TTIP) was used as the organometallic source for the deposition of ultra-thin TiO2 films at low temperature (<200 °C) on strained-Si/relaxed-Si0.8Ge0.2 heterolayers by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma cavity discharge system at a pressure of 66.67 Pa. Stress-induced leakage current (SILC) through SiO2/TiO2 stacked gate dielectric is modeled by taking into account the inelastic trap-assisted tunneling (ITAT) mechanism via traps located below the conduction band of TiO2 layer. The increase in the gate current density observed during CVS from room temperature up to 125 oC has been analyzed and modeled considering both the buildup of charges in the layer as well as the SILC contribution. Trap generation rate and trap cross-section are extracted. A capture cross-section in the range of 10−19 cm2 as compared to 10−16 cm2 in SiO2 has been observed. A temperature-dependent trap generation rate and defects have also been investigated using time-dependent current density variation during CVS. The time dependence of defect density variation is calculated within the dispersive transport model, assuming that these defects are produced during random hopping transport of positively charge species in the insulating high-k stacked layers. SILC generation kinetics, i.e. defect generation probability under different injected fluences for various high-constant stress voltages in both polarities have been studied. An empirical relation between trap generation probability and applied stress voltage for various injected fluences has been developed.  相似文献   

3.
A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(1 0 0) substrates, and Si(1 0 0) substrates with ultra-thin (0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn-Teller distortion removal of band edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. This paper also includes a brief discussion of the integration issues for the introduction of this Ge breakthrough into advanced semiconductor circuits and systems. This includes a comparison of nano-crystalline and non-crystalline dielectrics, as well as issues relative to metal gates.  相似文献   

4.
Long channel Ge FETs and capacitors with CeO2/HfO2/TiN gates were fabricated by photolithography and gate wet etch. Rare earth CeO2 in direct contact with Ge was used as a passivating layer producing lowest Dit values in the mid 1011 eV−1 cm−2 range. HfO2 cap reduces leakage and improves equivalent oxide thickness scaling of the whole gate stack. The p-FETs show exceptionally high ION/IOFF ratio 106, mainly due to low OFF current, and peak channel mobility around 80 cm2/V s. The n-FETs, although functional, show inferior performance producing ON currents an order of magnitude lower compared to p-FETs.  相似文献   

5.
Deep-level transient spectroscopy (DLTS) measurements were carried out on low-doped n-silicon before and after irradiation with 5.48 MeV α particles at room temperature with a fluence of 1010 α particles/cm2. The DLTS measurements on the samples identified three electron levels E1, E2 and E3 before irradiation. The deep-levels characteristic studies include emission rate signatures, activation energies, defect concentrations and capture cross sections. It was found that all pre-existing defects decreased their amplitudes during irradiation. The decrease in activation energy of level E3 and noticeable suppression of level E1 was also observed after irradiation. It was clearly seen that the composite peak E3 (combination of E2 and E3) was successfully resolved after irradiating with α particles. α-irradiation is seen to lead a significant suppression of the iron interstitial defect, and without causing any change in its room temperature annealing characteristics.  相似文献   

6.
Thin (4 nm) hafnium silicate (HfO2)x(SiO2)1−x/SiO2 gate stacks (0 < x < 1) grown by metal organic chemical vapour deposition (MOCVD) are investigated in this study. The focus is on extracting the optical constants, and hence bandgaps as well as dielectric constants. The VUV (vacuum ultraviolet) spectroscopic ellipsometry (VUV-SE) technique in the spectral range 140–1700 nm, together with current–voltage and capacitance–voltage techniques were used for studying the optical and electrical properties of the layers, respectively. The bandgap was found to increase from 5.24 eV for HfO2 to 6 eV for Hf-silicate with 30% Hf. The permittivity was reduced from 21 for HfO2 layers to 8 for Hf-silicate with x = 0.3. The results suggest that the optimal Hf content is above 0.6, for which the permittivity higher than 10 can be achieved.  相似文献   

7.
The damage induced in the thin SiO2–Si system after an exposure to O2 and N2 plasma working in reactive ion etching (RIE) mode has been studied. A generation of high density (up to 5×1012 cm−2 in the first 15 s plasma exposure) of positive oxide charge in bulk traps as well as in slow states has been established. The RIE damage effects become highly process dependent as the plasma time increases, the fixed oxide charge first increases and then slows down or even turns around depending on discharge conditions. It is suggested that the relative contribution of the two main plasma components (ion bombardment and vacuum UV photons) at different discharge regimes is the reason for the appearance or the absence of the “turn-around” effect. It is established that the combination O2 plasma and low pressure is critical for the degradation of the plasma treated samples. The results reveal a strong linear correlation between the leakage current detected and plasma created positive charge.  相似文献   

8.
Accelerated lifetest results are presented on HBTs with InGaP emitters. An Arrhenius plot indicates the existence of a temperature dependent activation energy, Ea. A low Ea mechanism dominates above Tj 380 °C and a high Ea mechanism dominates at lower temperature. The critical transition temperature between regimes is determined using the method of maximum likelihood. The difference in Ea’s between low and high temperature regimes is statistically significant.A comparison is made between lifetimes determined from at temperature vs. 40 °C data. No significant difference is observed indicating that beta degradation can be monitored at temperature only and cooling to low temperature is not necessary. Other comparisons indicate that junction temperatures up to 367 °C can still provide good estimates of lower temperature behavior.By the method of maximum likelihood, the predicted MTTF at Tj = 125 °C is 7.6 × 109 h with 95% CBs of [6.4 × 108, 8.9 × 1010]. Given the typical industry standard of 1 × 106 h, the reliability requirements are easily met.It is suggested that the standard of 1 × 106 h does not adequately capture failure time variation and that a better specification is in terms of fails in time (FITs). The 10 year average FIT rate at 125 °C is found to be negligible. Assuming a much higher junction temperature of 210 °C, the average failure rate climbs to 5 FITs with an upper 95% confidence bound of 40 FITs.  相似文献   

9.
Low-voltage pentacene organic field-effect transistors (OFETs) with different gate dielectric interfaces are studied and their performance in terms of electrical properties and operational stability is compared. Overall high electrical performance is demonstrated at low voltage by using a 100 nm-thick high-κ gate dielectric layer of aluminum oxide (Al2O3) fabricated by atomic layer deposition (ALD) and modified with hydroxyl-free low-κ polymers like polystyrene (PS), divinyltetramethyldisiloxane-bis(benzocyclobutene) (BCB) (Cyclotene™, Dow Chemicals), and as well as with the widely used octadecyl-trichlorosilane (OTS). Devices with PS and BCB dielectric surfaces exhibit almost similar electrical performance with high field-effect mobilities, low subthreshold voltages, and high on/off current ratios. The higher mobility in pentacene transistors with PS can be correlated to the better structural ordering of pentacene films, as demonstrated by atomic force microscopy (AFM) images and X-ray diffraction (XRD). The devices with PS show good electrical stability under bias stress conditions (VGS = VDS = −10 V for 1 h), resulting in a negligible drop (2%) in saturation current (IDS) in comparison to that in devices with OTS (12%), and to a very high decay (30%) for the devices with BCB.  相似文献   

10.
Two different plasma chemistries for etching ZnO were examined. Both Cl2/Ar and CH4/H2/Ar produced etch rates which increased linearly with rf power, reaching values of 1200 Å/min for Cl2/Ar and 3000 Å/min for CH4/H2/Ar. The evolution of surface morphology, surface composition, and PL intensity as a function of energy during etching were monitored. The effect of H in ZnO was studied using direct implantation at doses of 1015–1016 cm−2, followed by annealing at 500–700 °C. The hydrogen shows significant outdiffusion at 500 °C and is below the detection limits of SIMS after 700 °C anneals. SEM of the etched features showed anisotropic sidewalls, indicative of an ion-driven etch mechanism.  相似文献   

11.
Electrical characteristics of ultra-shallow (90 nm) n+p junctions fabricated using plasma immersion implantation of arsenic ions are investigated. With the arsenic source, a more uniform doping profile was obtained. In addition, both forward and reverse current–voltage (IV) characteristics at operation temperature ranging from 100 to 450 K were measured. Results show that the ideality factor varies from unity to two indicating both diffusion and generation-recombination (GR) processes are important in these devices. The ideality factor is found to fluctuate with the temperature due to discrete trap centers in the junction. Annealing has profound effect on the reverse diode characteristics. For fully activated sample, the IV relationship in the reverse region essentially follows a power law, i.e. IVm. The power index (m) is about 3 and almost remains unchanged at different temperatures.  相似文献   

12.
A growth parameter study was made to determine the proper of a SiGe superlattice-type configuration grown on Si substrates by chemical vapor deposition (CVD). The study included such variables as growth temperature, layer composition, layer thickness, total film thickness, doping concentrations, and film orientation. Si and SiGe layers were grown using SiH4 as the Si source and GeH4 as the Ge source. When intentional doping was desired, diluted diborane for p-type films and phosphine for n-type films were used. The study led to films grown at ∼1000°C with mobilities from ∼20 to 40 percent higher than that of epitaxial Si layers and ∼100 percent higher than that of epitaxial SiGe layers grown on (100) Si in the same deposition system for net carrier concentrations of ∼8x1015 cm-3 to ∼2x1017 cm-3. Enhanced mobilities were found in multilayer (100)-oriented Si/Si1-xGex films for layer thicknesses ≥400A, for film thicknesses >2μm, and for layers with x = 0.15. No enhanced mobility was found for (111)-oriented films and for B-doped multilayered (100)-orlented films. Supported in part by NASA-Langley Research Center, Hampton, VA, Contract NAS1-16102 (R. Stermer & A. Fripp, Contr. Mon.)  相似文献   

13.
This work presents results of using VLS epitaxial Si-Ge-type structure as a sub-contact layer designated for Ni/Si ohmic contacts. The epitaxial growth was performed at 1240 and 1414 °C in various types of atmosphere in a processing chamber. The prepared layers had mostly smooth surface. XPS analysis showed that germanium escape from the structure occurred during the process of the epitaxial growth. An important result is that silicon and carbon bind in the form of SiC already at the surface of the structure, which proves silicon carbide formation during the epitaxial growth. Ni/Si-type contact metallization was deposited onto all epitaxial structures. After annealing we received ohmic contacts with contact resistivity equal or lower compared to the standard contact structure Ni/Si/SiC prepared on the same substrate. The best value of contact resistivity was 4 × 10−5 Ω cm2. The doping concentration in the VLS epitaxial layers is reaching the value (6-7) × 1018 cm−3.  相似文献   

14.
Thermally stimulated current (TSC) techniques provide information about oxide-trap charge densities and energy distributions in MOS (metal-oxide-semiconductor) capacitors exposed to ionizing radiation or high-field stress that is difficult or impossible to obtain via standard capacitance–voltage or current–voltage techniques. The precision and reproducibility of measurements through repeated irradiation/TSC cycles on a single capacitor is demonstrated with a radiation-hardened oxide, and small sample-to-sample variations are observed. A small increase in Eδ center density may occur in some non-radiation-hardened oxides during repeated irradiation/TSC measurement cycles. The importance of choosing an appropriate bias to obtain accurate measurements of trapped charge densities and energy distributions is emphasized. A 10 nm deposited oxide with no subsequent annealing above 400°C shows a different trapped-hole energy distribution than thermally grown oxides, but a similar distribution to thermal oxides is found for deposited oxides annealed at higher temperatures. Charge neutralization during switched-bias irradiation is found to occur both because of hole-electron annihilation and increased electron trapping in the near-interfacial SiO2. Limitations in applying TSC to oxides thinner than 5 nm are discussed.  相似文献   

15.
Dependence of oxygen partial pressures on structural and electrical characteristics of HfAlO (Hf:Al=1:1) high-k gate dielectric ultra-thin films grown on the compressively strained Si83Ge17 by pulsed-laser deposition were investigated. The microstructure and the interfacial structure of the HfAlO thin films grown under different oxygen partial pressures were studied by transmission electron microscopy, and the their electrical properties were characterized by capacitance–voltage (CV) and conductance–voltage measurements. Dependence of interfacial layer thickness and CV characteristics of the HfAlO films on the growth of oxygen pressure was revealed. With an optimized oxygen partial pressure, an HfAlO film with an effective dielectric constant of 16 and a low interface state density of 2.1×1010 cm−2 eV−1 was obtained.  相似文献   

16.
Experiments on the diffusion of Si and Ge in Si1-xGex-isotope heterostructures with Ge contents x=0, 0.05, and 0.25 were performed at temperatures between 870 and . The concentration profiles of the stable Si- and Ge-isotopes were recorded by means of time-of-flight secondary ion mass spectrometry. For all compositions, an Arrhenius type temperature dependence of diffusion was observed. The activation enthalpy of Si diffusion in SiGe equals the activation enthalpy of Ge diffusion and the pre-exponential factors agree within experimental accuracy. However, the absolute values of the Si and Ge diffusion coefficients indicate a clear trend. In elemental Si the diffusion coefficients of Si and Ge agree, but the difference between the diffusion coefficients of Ge and Si in Si1-xGex increases with x. This indicates that with increasing Ge content the diffusional jumps of Ge atoms become more successful compared to that of Si. This trend is explained with an increasing contribution of vacancies to self-diffusion in Si1-xGex with an increase of the Ge content x.  相似文献   

17.
The design of ultra-low power (<100 mW), high-speed analogue to digital converter (ADC) is an essential element for the next generation radio telescope, the square kilometre array (SKA). CMOS technology is limited in high precision applications, such as ADCs due to the stringent requirement of device matching. Also to achieve high-speed (ft>100 GHz) CMOS requires deep sub-micron gates (90 nm or less) where expensive phase shift masks are required. This paper describes the design and simulation of a low-power high-speed (4 GS/s) analogue to digital converter based on an InP/InGaAs heterojunction bipolar transistor (HBT). The technology used was developed at the University of Manchester using MBE growth which relied upon two novel developments. Firstly stoichiometric conditions permitted growth at a fairly low temperature of 420 °C while conserving extremely high-quality materials. Secondly dimeric phosphorus was generated from a gallium phosphide (GaP) decomposition source leading to excellent RF device properties. The DC and RF performance of the fabricated HBTs showed characteristics ideally suited to low-power IC designs, with current gain 70, low offset voltages and achieving an ft=91 GHz and fmax=83 GHz on a 1.5×5 μm2 emitter area device using fairly relaxed optical lithography.  相似文献   

18.
Vertical Schottky rectifiers have been fabricated on a free-standing n-GaN substrate. Circular Pt Schottky contacts with different diameters (50 μm, 150 μm and 300 μm) were prepared on the Ga-face and full backside ohmic contact was prepared on the N-face by using Ti/Al. The electron concentration of the substrate was as low as 7 × 1015 cm−3. Without epitaxial layer and edge termination scheme, the reverse breakdown voltages (VB) as high as 630 V and 600 V were achieved for 50 μm and 150 μm diameter rectifiers, respectively. For larger diameter (300 μm) rectifiers, VB dropped to 260 V. The forward turn-on voltage (VF) for the 50 μm diameter rectifiers was 1.2 V at the current density of 100 A/cm2, and the on-state resistance (Ron) was 2.2 mΩ cm2, producing a figure-of-merit (VB)2/Ron of 180 MW cm−2. At 10 V bias, forward currents of 0.5 A and 0.8 A were obtained for 150 μm and 300 μm diameter rectifiers, respectively. The devices exhibited an ultrafast reverse recovery characteristics, with the reverse recovery time shorter than 20 ns.  相似文献   

19.
A careful analysis of the dielectric response of ZnO-based commercial varistors in the frequency range between 100 Hz and 15 MHz and temperature range from 290 to 400 K enables a complete characterization of deep level electronic transitions in space-charge regions. In the investigated systems, oxygen vacancies are the origin of trap states situated 0.30 eV below the conduction band. However, samples exhibit a contrasting dielectric response concerning the power law exponent of the loss peak. First we present the case of near-Debye behaviour modeled by a Cole-Cole response with slight deviations α0.1 (it is ascribed to a distribution of activation energies) and next the case of very broad loss peaks (nearly constant-loss dielectric response). In this last case, the relative increment in the value of the real part of the capacitance (C0-C)/C (which is commonly used as a measure of the density of trapping states in semiconductor devices) reaches higher values than those obtained for the former. This suggests that dielectric loss broadness can be regarded as an indication of high density or a disordered distribution of traps.  相似文献   

20.
Electron paramagnetic resonance (EPR) measurements have been made on a variety of commercially available samples of the monoclinic form of the high-dielectric constant (high k) materials ZrO2 and HfO2 with the aim of characterizing the defects they contain. All EPR measurements were at about 9.5 GHz and at room temperature. An axially symmetric spectrum with g=1.961(2), g=1.976(2) is observed in most of the ZrO2 samples and a similar one with g=1.940(3), g=1.970(2) is seen for most of the HfO2 samples; they are attributed to centres involving Zr3+and Hf 3+, respectively. Their average concentration lies in the approximate range 1015–1017 cm−3, depending on the product specification, and, with one exception is unaffected by γ-irradiation. Grinding granules to powder and/or γ-irradiation yields further EPR spectra of defects, some of which are likely to involve oxygen, those are probably in the near surface region.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号