首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Electromigration of Cu/low dielectric constant interconnects   总被引:1,自引:0,他引:1  
Electromigration in damascene Cu/low dielectric constant interconnects with overlayers of CoWP, Ta/TaN, SiNx or SiCxNyHz and Cu(Ti) interconnects capped with SiNx was studied. The results showed that the migration fast path in the bamboo-like lines primarily occurred at the interface. Cu lines fabricated with various forms of TaN/Ta liner including PVD TaN, ALD TaN, and PVD body centered cubic α- or tetragonal β-Ta liners were also investigated. Both thin surface layers of CoWP or Ta/TaN and the addition of Ti in the Cu lines significantly reduced the Cu/cap interface diffusivity and remarkably improved the electromigration lifetime when compared with Cu lines capped with SiNx or SiCxNyHz. Activation energies for electromigration were found to be 1.9–2.4 eV, 1.4 eV, 0.85–1.1 eV, and 1.3 eV for the bamboo-like Cu lines capped with CoWP, Ta/TaN, and SiNx or SiCxNyHz, and Cu(Ti) bamboo lines capped with SiNx, respectively. The structural phase of the Ta was found to have an insignificant effect on the Cu mass flow rate. A large via size, thicker liner and/or stable connected exposed liner can provide a longer lifetime and tighter lifetime distribution, at the expense of chip density or effective Cu line conductivity.  相似文献   

2.
The effect of the type of capping layer and post-CMP surface treatments on the adhesion between damascene Cu and the capping layer was investigated. The CMPed-surface was treated by six methods divided into four groups which consisted of no surface treatment, cleaning by plasma with vacuum break, cleaning by plasma without vacuum break and cleaning by the wet chemical method. SiNx and SiC were used to cap the surface after the post-CMP cleaning. The adhesion strength between Cu and the capping material was measured using a sandwiched structure constructed for the four point bending test. The X-ray photoemission spectroscopy analysis showed that the adhesion strength is related to the interfacial chemical bonds. The adhesion is influenced by the presence of contaminants and residual oxygen which inhibit the bonding of Si and Cu.  相似文献   

3.
For 28 nm technological node, porous ultra low dielectric constant (p-ULK) film has been used as an insulator in Cu interconnection in the back-end of the line (BEOL). The interfacial adhesion between p-ULK film and SiCN (nitrogen doping silicon carbon) cap barrier layer played the important role for the package, wiring bond, chip package interaction (CPI), peeling, and reliability. In this work, the thin initial oxide and thin transition films were deposited in situ before depositing p-ULK film, which was used for improving the interfacial adhesion between p-ULK film and SiCN film, The ULK film with multilayer structure was characterized by secondary ion mass spectroscopy (SIMS) for examining multilayer structure, focused ion beam (FIB) and transmission electron microscope (TEM) for observing interface, and four-point bending (4-PB) for testing interfacial adhesion. Results indicated that the interfacial adhesion was obviously improved by adding initial oxide and transition layer before the deposited p-ULK film, which hardly impact the capacitance using single layer structure.  相似文献   

4.
In this study, the effect of a Ni-Cr seed layer on the adhesion strength of flexible copper clad laminate (FCCL) was evaluated after thermal treatment. The changes in the chemical composition, morphology and adhesion property were characterized by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), electron probe micro analyzer (EPMA), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and 90° peel test. The peel strength of the FCCLs was significantly affected by the thermal treatment and the FCCL with a higher peel strength had a higher thermal stability than that with a lower peel strength. The roughness of the fracture surface for the FCCLs decreased with increasing thermal treatment temperature and holding time. The thermal treatment of the FCCL increased the ratio of the C-N bonds and reduced that of the C-O and carbonyl (CO) bonds in the polyimide.  相似文献   

5.
Cu electroplating which emerges as a viable Cu filling technique for damascene processing relies on the presence of a smooth and continuous Cu seed layer. Metal organic chemical vapor deposition (MOCVD) may be the most promising technique to deposit the Cu seed layer. Plasma pretreatment is widely used as a precleaning technique which is essential for the enhancement of Cu nucleation in Cu-MOCVD. New pretreatment techniques which can replace plasma pretreatments are proposed in this paper. Pd sputtering, Pd–HF dipping or Pd-CVD pretreatment will possibly enhance Cu nucleation significantly if it is conducted on barrier metal films prior to Cu-MOCVD. It was found that Pd sputtering is more effective in enhancing Cu nucleation than direct plasma H2 precleaning. Pd sputtering pretreatment is effective for a variety of barrier metals including Ta, TiN, TaN and TaSiN. The mechanism through which Cu nucleation is enhanced may be as follows: a thin Pd buffer layer formed by sputtering shields the barrier metal substrate surface with adsorbed oxygen atoms making Cu nucleation difficult and provides preferred sites for Cu nucleation.  相似文献   

6.
The reliability of adhesion strength of the Sn-9Zn-1.5Ag-0.5Bi/Cu during isothermal aging has been investigated. Due to the growth and decomposition of the intermetallic compound (IMC), the adhesion strength varies with aging at 150°C from 100, 400, and 700–1,000 h as wetted at 250°C for 60 sec. The IMC layers are determined at the Sn-9Zn-1.5Ag-0.5Bi/Cu interface by an x-ray diffractometer (XRD), an optical microscope (OM), a scanning electron microscope (SEM), an energy-dispersive spectroscope (EDS), and a transmission electron microscope (TEM). The adhesion strength has been investigated by the pull-off test. The results show that the Cu6Sn5, Cu5Zn8, and Ag3Sn IMCs are identified at the Sn-9Zn-1.5Ag-0.5Bi/Cu interface as aging. The adhesion strengths are 12.44±0.58, 8.57±0.43, 5.50±0.78, 4.32±0.78, and 3.32±0.43 MPa for aging times of 0 h, 100 h, 400 h, 700 h, and 1,000 h, respectively.  相似文献   

7.
在具有高驱动电压的液晶显示器中,为了对液晶器件起到保护作用,以采用增加取向层厚度的方法来解决某些显示器件由于驱动电压过高导致的问题。当取向层厚度不可忽略时,随着取向层厚度增加会导致器件驱动电压升高。本文利用扭曲向列相液晶显示器结构,通过模拟和实验分析了取向层厚度对LCD的影响以及不同介电常数的取向层对LCD的影响。结果表明:当取向层的介电常数大于20时,能够有效降低TN-LCD驱动电压。当其介电常数大于500时,其厚度变化对驱动电压的影响变得很小。本文结果对降低高驱动电压液晶器件的驱动电压有重要的指导性意义。  相似文献   

8.
The intermetallic compounds (IMCs) formed at the interface between the Sn-9Zn-1.5Ag-0.5Bi lead-free solder alloy and unfluxed Cu substrate have been investigated by x-ray diffraction, optical microscopy, scanning electron microscopy (SEM), and energy-dispersive spectrometry (EDS). The melting point and melting range of the Sn-9Zn-1.5Ag-0.5Bi solder alloy are determined as 195.9°C and 10°C, respectively, by differential scanning calorimetry (DSC). Cu6Sn5 and Cu5Zn8 IMCs are formed between the Sn-9Zn-1.5Ag-0.5Bi/unfluxed Cu substrate wetted at 250°C for 10 sec. The interfacial adhesion strength changes from 10.27±0.68 MPa to 8.58±0.59 MPa when soldering time varies from 10 sec to 30 sec at 250°C.  相似文献   

9.
This paper reports on two different electromigration-failure mechanisms competing in Cu interconnects. Accelerated electromigration tests are conducted on identical single-level, 0.25-μm Cu interconnects with SiN or SiCN passivation. The results indicate that the failure mechanism can vary with the interface condition of the capping layer. The first failure mechanism, seen primarily in SiN-capped samples, is characterized by extensive interface damage, believed to be a result of failure led by interface electromigration. In this failure mode, damage initiates at the capping interface but gradually spreads along all interfaces of the Cu to form an isolated strand. The competing failure mechanism, found in SiCN-capped samples, is characterized by the formation and growth of a localized void without extensive interface damage. The absence of interface damage, in addition to the higher activation energy for failure, suggests that the failure occurs at a more localized inhomogeneity than the interface, such as grain boundaries. While the exact mechanism of how the capping layer suppresses one mechanism and promotes the other is unknown, this study reveals that the passivation-interface material and condition have a decisive role in determining the failure mechanism in Cu interconnects.  相似文献   

10.
This paper presents a study of electron scattering in damascene-processed Cu interconnects. To understand the leading electron-scattering mechanism responsible for the size effect, Cu interconnects with varying physical widths, 80–750 nm, were made, and their resistivity characterized as a function of temperature, ranging from liquid He temperature (4.2 K) to 500 K. The resulting data suggest that surface scattering, contrary to expectations, was not the primary cause of the size effect observed in this investigation. Surface scattering was found to weaken with decreasing line width. Further analysis leads to the conclusion that a substantial fraction of the size effect originates from impurity content scaling inversely with width in these samples.  相似文献   

11.
The adhesion strength of A lead-free solder hot-dipped on copper substrate   总被引:1,自引:0,他引:1  
Eutectic Sn-Zn-Al solder alloy was used [composition: 91Sn-9(5Al-Zn)] to investigate the effects of dipping parameters such as the temperature, rate and time dipping on the adhesion strength between solder and substrate using dimethylammonium chloride (DMAHCl) flux. The optimum conditions for the highest adhesion strength (about 8 MPa) were determined as dipping at 350°C, and a rate of 10.8∼11.8 mm/s for 5∼7.5 min. A poor solder coating was obtained as dipped at 250°C. Some defects by non-wetting were found as dipped at a slow rate (slower than 8.2 mm/s). Quite different from the most tin-based solders for copper substrate, γ-Cu5Zn8 intermetallic compound particles were found by x-ray diffraction (XRD) analysis at the interface of solder and substrate as dipped at 300°C after pull-off test by etching out the unreacted solder layer. The morphology of the intermetallic compound formed was observed by scanning electron microscopy (SEM). The elements of Al (near Cu), Zn (near Sn) are enriched at the interface of solder and copper substrate as determined by the line scanning and mapping analysis.  相似文献   

12.
We have developed a novel activation technique for the conformal electroless deposition (ELD) of Cu on a SiO2 substrate modified with an organic self-assembled monolayer. The SiO2 substrate was modified with amine groups using 3-aminopropyltriethoxysilane and Au nanoparticles (AuNPs) to form a uniform, continuous catalyst for ELD. The Au catalytic layer formed on the amine-SiO2 substrate was stabilized by electrostatic interactions between the positively charged protonated-amine self-assembled monolayer (SAM) and negatively charged AuNPs. Cu films were then electrolessly deposited on Au-catalyzed SiO2 substrates. The Cu seed layer formed by this method showed a highly conformal and continuous structure. Cu electrodeposition on the 60-nm trench was demonstrated using an acid cupric sulfate electrolyte containing chloride, polyethylene glycol 4000 and bis(3-sulfopropyl)disulfide. The resulting electroplated Cu showed excellent filling capability and no voids or other defects were observed in a 60-nm trench pattern.  相似文献   

13.
Adhesion between copper and n-type parylene (PA-N) has been studied. The PA-N film was deposited on Si(100) substrate by vapor deposition polymerization (VDP), and the Cu film was deposited on PA-N by plasma partially ionized beam (Plasma PIB) as well as other deposition techniques as a comparison. The adhesion strength was measured by 90° peel test after the sample was cleaved into a strip to define geometry. A peel strength of greater than 70 g/mm between the Cu film and PA-N was achieved by Cu Plasma PIB. X-ray photoelectron spectroscopy (XPS) studies found no Cu-O-C bond formation at Cu-parylene surface, while secondary ion mass spectrometry (SIMS) studies showed that a significant amount of Cu was shallowly implanted into the PA-N, with an average concentration of 1017–1018 atoms/cm3 near the interface. The results showed that a physically intermixing layer of about 100Å between the two phases formed by shallow implantation of Cu into PA-N was the main mechanism of adhesion. A suggested model is proposed correspondingly.  相似文献   

14.
Solder joints were successfully bonded by joining Ag/Sn/Cu bumps and Ag/Sn/Cu layers at 200°C for 30 sec under 20 MPa, 40 MPa, and 80 MPa using thermo-compression bonder. The solder joints were aged at 150°C up to 1000 h. The strength of the solder joints was measured by the shear test and the contact resistance was measured using four-point probe method. The microstructure of the solder joints and the fracture modes after shear test were analyzed by scanning electron microscopy (SEM) with the energy-dispersive spectrometry (EDS). Results showed that the electrical resistance of the solder joints decreased, and the shear strength of the solder joints increased after aging treatment. The fracture modes were observed to move from the interfacial failure between solder and intermetallic compounds (IMCs) to the interfacial failure between IMCs. It was considered that the transition of fracture modes was closely related with the microstructure evolution of the solder joints, especially the transformation of IMC phases during the aging treatment.  相似文献   

15.
蒋然  张燕 《半导体学报》2009,30(8):082003-4
HfOxNy 填充多孔Si空穴结构在室温下得到了改善的光致发光。观测到425nm处强的蓝光和690nm红的发光峰。量子限制效应和极化效应应该是产生发光峰的原因。N/O的化学配比同样影响蓝光发射。最后观测到样品具有极弱的温度淬灭效应。  相似文献   

16.
fect was observed to be greatly weakened for the incorporation of HfON.  相似文献   

17.
Bi-directional current stressing was used for monitoring electromigration (EM) lifetime evolution in 45 nm node interconnects. Experimental results show that an initial bimodal distribution of lifetimes can be modified into a more robust mono-modal distribution. Since the bi-directional tests provide successive void nucleation and void healing phases, the Cu microstructure is thought to evolve once the formed void is filled thanks to EM induced matter displacement. FEM modeling is used to compare the predicted location of void nucleation for given microstructures at the cathode end: a multigrain structure is compared to a perfect bamboo microstructure. Experimental and modeling results let us assume that small grains (<linewidth or via diameter) at the cathode end present a risk of EM induced early fails. Indeed at this location void nucleates and grows nearby the via opening it shortly. On the contrary, the bamboo microstructure is thought to provide more robust lifetime because voids nucleate a few hundred nanometers in the line and grow down reaching the bottom diffusion barrier of the line. This latter case provides larger void size before circuit opening.  相似文献   

18.
位于介质覆盖的导电基底中水平电偶极子的场   总被引:2,自引:2,他引:0  
讨论了位于有介质层覆盖的非理想导电基底中的水平电偶极子激励起的电磁场,获得了方便于计算的解析表达式,并进行了数值计算和讨论。  相似文献   

19.
为了适应基板高载荷、高可靠的要求,制备了一种适用于LTCC应用的高抗弯强度微波介电陶瓷材料。该陶瓷材料由Ca-Mg-Zr-Zn-B-Si微晶玻璃和氧化铝构成。采用差热热重同步分析仪、扫描电镜、X射线衍射分析仪、带谐振腔夹具的矢量网络分析仪和三点抗弯测试仪研究了陶瓷材料的烧结性能、微观结构、抗弯强度和介电性能。860℃烧结15 min获得陶瓷具有最佳致密度,其抗弯强度大于400 MPa,1. 9 GHz频率时εr=8. 12,tanδ=0. 0028;15 GHz频率时εr=7. 96,tanδ=0. 0031。该陶瓷与金、银电极共烧匹配良好,适用于制备LTCC基板。  相似文献   

20.
按照实际制作器件的工艺条件和方法,采用不同的Cu引线框架氧化时间,制备了多组无芯片的封装器件,并打磨Cu/EMC界面的样品。然后对样品进行了剪切实验和界面微观结构观察。剪切实验发现,适当的Cu预氧化时间能有效提高Cu/EMC界面强度。Cu/EMC界面的SEM照片显示,150 min的氧化时间使界面产生了大量不同形状的氧化物颗粒,断裂沿Cu氧化层或EMC过渡层发生,导致界面剪切强度离散。考虑到Cu氧化对Cu/EMC界面的影响及工艺成本,氧化时间范围为165℃下8~12 min。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号