首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
The residual stress and its evolution with time in poly(vinylidene-fluoride-co-trifluoroethylene) (P(VDF-TrFE) (72/28)) piezoelectric polymer thin films deposited on silicon wafers were investigated using the wafer curvature method. Double-side polished silicon wafers with minimized initial wafer warpage were used to replace single-side polished silicon wafers to obtain significantly improved reliability for the measurement of the low residual stress in the P(VDF-TrFE) polymer thin films. Our measurement results showed that all the P(VDF-TrFE) films possessed a tensile residual stress, and the residual stress slowly decreased with time. Our analysis further indicates that the tensile stress could arise from the thermal mismatch between the P(VDF-TrFE) film and the silicon substrate. Besides possible viscoelastic creep mechanism in thermoplastic P(VDF-TrFE) films, microcracks with widths in the range of tens of nanometers appeared to release the tensile residual stress.  相似文献   

2.
This work seeks to characterize the residual stresses of titanium thin films as they are affected by various substrate temperatures during the sputtering process. The titanium thin films are deposited on silicon wafers by a RF magnetron sputter while different substrate temperatures are considered. The residual stresses are measured by both X-ray diffraction and a substrate curvature method, and consistent results are obtained by both methods. The results show that the residual stress decreases as the substrate temperature increases, in which the stress changes from tensile to compressive when the substrate temperature increases from 25 to 50 degrees C. Furthermore, the elastic modulus and hardness of the titanium thin films are tested with a nanoindenter using a standard Berkovich probe. Correlations between the residual stresses and mechanical properties measured by nanoindentation are also discussed.  相似文献   

3.
A break of wiring by stress-migration becomes a problem with an integrated circuit such as LSI. The present study investigates residual stress in SiO2/Cu/TiN film deposited on glass substrates. A TiN layer, as an undercoat, was first deposited on the substrate by arc ion plating and then Cu and SiO2 layers were deposited by plasma coating. The crystal structure and the residual stress in the deposited multi-layer film were investigated using in-lab. X-ray equipment and a synchrotron radiation device that emits ultra-high-intensity X-rays. It was found that the SiO2 film was amorphous and both the Cu and TiN films had a strong {1 1 1} orientation. The Cu and TiN layers in the multi thick (Cu and TiN:1.0 μm)-layer film and multi thin (0.1 μm)-layer film exhibited tensile residual stresses. Both tensile residual stresses in the multi thin-layer film are larger than the multi thick-layer film. After annealing at 400 °C, these tensile residual stresses in both the films increased with increasing the annealing temperature. Surface swelling formations, such as bubbles were observed in the multi thick-layer film. However, in the case of the multi thin-layer films, there was no change in the surface morphology following heat-treatment.  相似文献   

4.
Industrial polyethylene terephthalate (PET) films have been metallized by aluminium evaporation in two different sets of experimental conditions. In the first set, aluminium layers of 100 nm thickness were deposited at a constant deposition rate (10 Å s−1) for different residual pressures varying from 1 Pa to 10−4 Pa and, in the second set, the residual pressure was kept constant (2.6 × 10−3 Pa), while the deposition rate was varied from 5 Å s−1 to 40 Å s−1.

The adherence between the aluminium layers and the PET film was measured by means of scratch and peel tests. The critical load and the peel strength exhibit a maximum at about 10−2 Pa when the deposition rate is kept constant.

The microstructure of the aluminium layers, mainly the mean grain size, was studied by transmission electron microscopy (TEM), while secondary ion mass spectrometry (SIMS) depth profiles through the aluminium layers were performed in order to provide the chemical information, mainly aluminium layer oxidation. Concerning the TEM results, the grain size increases when the residual pressure is decreased and also when the deposition rate is increased. The SIMS depth profiles show different levels for aluminium oxidation at the surface, in the bulk of the layers and at the interface, all increasing for high residual gas pressure and for low deposition rates.

From these results, it appears that the oxygen content at the Al---PET interface plays a critical role in the microstructure owing to its influence on the nucleation and on the growth of the aluminium layers. It also influences the adhesion between aluminium and PET for which an optimum oxygen amount seems to be required.  相似文献   


5.
Residual stresses play a significant role in the mechanical reliability of thin films. Thus in this study, the mechanical properties and interface adhesion strengths of SiN thin films containing different residual stresses have been investigated by using nanoindentation and nanoscratch tests. With varied residual stresses from compressive to tensile, the penetration depth of nanoindentation tests shifted to a higher value. The hardness and elastic modulus decreased from 11.0 and 95 GPa, respectively, for the film containing a compressive stress of 235 MPa to 9.6 and 84 GPa for the film with a tensile stress of 86 MPa. With decreasing compressive stress and increasing tensile stress, the interface adhesion energy decreased from 1.8 to 1.5 J/m2. Compressive stresses were expected to blunt crack tips and inhibit crack propagation, while tensile stresses enlarged crack opening and facilitated crack propagation, thus changing the mechanical properties of the SiN thin films.  相似文献   

6.
The mechanical properties of Si3N4-SiC, SiNx and SiCy films prepared at a low temperature of 400 °C by plasma chemical vapour deposition are reported. Microhardness, internal stress of the film and adhesive strength between the film and glass or stainless steel substrate were evaluated as principal mechanical properties. Microhardness was measured to be about 10 to 20 G Pa dependent on the film composition in each system. Internal stress of the films on borosilicate glass substrates extensively varied from tensile to compressive with the film composition change from Si3N4 to SiC. Adhesive strength, as ascertained by the scratch test, was about 580 to 800 MPa for crown glass substrates, and about 210 to 310 M Pa for 316 stainless steel substrates. It is pointed out that tensile stress in these films brought about more abrupt decreases of the adhesive strength than did compressive stress.  相似文献   

7.
预应变法调整芳纶-铝层板的残余应力   总被引:1,自引:0,他引:1       下载免费PDF全文
采用预应变法能有效地调整芳纶-铝层板的层间残余应力[1]。本文分析了3/2铺层的芳纶-铝层板的残余应力与所施加的预应变的关系;并用腐蚀法测定了几种不同的预应变层板中铝层的残余应力;研究了施加预应变对层板的拉伸性能和疲劳性能的影响。   相似文献   

8.
Internal stresses in sputter-deposited aluminium oxide, titanium carbide and copper films were measured. Aluminium oxide and titanium carbide films developed compressive stresses whereas copper films exhibited tensile stresses. Their magnitudes and signs were found to be in good agreement with those of the thermal stresses estimated from the constants and deposition temperatures of the materials. It is suggested that an atomic peening is one of the verifiable mechanisms whereby the compressive component of the stresses is induced in these films.  相似文献   

9.
Piezo- and pyroelectric hysteresis loops have been studied in unipolar lead zirconate titanate (PZT) films grown on silicon substrates. The PZT film compositions corresponded to the region of a morphotropic phase boundary. It is established that the degree of unipolarity (spontaneous polarization) in such films can reach a level that is close to the magnitude of residual polarization. Cyclic poling of samples in a strong electric field leads to a sharp drop of the residual polarization, which is related to the pinning of domain walls and the action of external tensile mechanical stresses on the film.  相似文献   

10.
Distortion and residual stresses induced during the manufacturing process of bonded crack retarders have been investigated. Titanium alloy straps were adhesively bonded to an aluminium alloy SENT specimen to promote fatigue crack growth retardation. The effect of three different strap dimensions was investigated. The spring-back of a component when released from the autoclave and the residual stresses are important factors to take into account when designing a selective reinforcement, as this may alter the local aerodynamic characteristics and reduce the crack bridging effect of the strap. The principal problem with residual stresses is that the tensile nature of the residual stresses in the primary aluminium structure has a negative impact on the crack initiation and crack propagation behaviour in the aluminium. The residual stresses were measured with neutron diffraction and the distortion of the specimens was measured with a contour measurement machine. The bonding process was simulated with a three-dimensional FE model. The residual stresses were found to be tensile close to the strap and slightly compressive on the un-bonded side. Both the distortion and the residual stresses increased with the thickness and the width of the strap. Very good agreement between the measured stresses and the measured distortion and the FE simulation was found.  相似文献   

11.
预应变法调整芳纶-铝层板的残余应力   总被引:2,自引:0,他引:2       下载免费PDF全文
采用预应变法能有效地调整芳纶-铝层板的层间残余应力[1]。本文分析了3/2铺层的芳纶-铝层板的残余应力与所施加的预应变的关系;并用腐蚀法测定了几种不同的预应变层板中铝层的残余应力;研究了施加预应变对层板的拉伸性能和疲劳性能的影响。  相似文献   

12.
Aluminium nitride films were deposited on fused silica by reactive dc magnetron sputtering from an Al-target in an Ar/N2 atmosphere. In-situ measurements during deposition provided data concerning mechanical stresses inherent to the growing thin films. By variation of both the gas composition (Ar, N2) and the total gas flow in the vacuum chamber, the occuring intrinsic stresses could be shifted in magnitude and direction. Stress values of the AIN films ranged from ?0.9 GPa (compressive) to +1.2 GPa (tensile) when the Ar/N2 ratio was varied between 3:1 and 1:3 for the different total gas flows of 50 sccm, 100 sccm, and 200 sccm (corresponding to total gas pressures of approximately 2 × 10?1 Pa, 4 × 10?1 Pa, and 8 × 10?1 Pa respectively). Investigations of optical and structural film properties were carried out and the results were related to the observed film stress.  相似文献   

13.
Chromium thin films were deposited on SiO2/Si wafers using two sputtering systems with different levels of cleanliness, and at argon sputtering pressures varying between 0.13 and 0.93 Pa. Films from the two systems grown under identical sputtering conditions had significantly different resistivity values that are shown to be due to differences in residual oxygen in the chambers. Electrical transport measurements were conducted on the series of grown films to investigate the influence of argon pressure on film electrical resistivity. The films morphology, microstructure and composition were characterized using scanning electron microscopy and X-ray photoelectron spectroscopy. Significant differences were found in Cr thin films sputtered at different sputtering pressures; differences in resistivity performance and microstructure were noted. This change was shown to be due to the transition from porous structure to a denser microstructure. The Cr films sputtered at high pressure contained large quantities of oxygen when exposed to air. Some of the oxygen is added to the film during the deposition depending on the deposition rate and the base pressure of the sputtering system. The rest is incorporated into the film once it is exposed to air. The amount of oxygen added at this stage depends on the structure of the film and would be minimal for the films deposited at low sputtering pressures.  相似文献   

14.
15.
Yttria-Stabilized Zirconia (YSZ) thin films were deposited on borosilicate crown glass substrates using electron beam evaporation technique and controlling technological parameters: deposition rate and oxygen partial pressure. Spectrophotometry, optical interferometry and X-ray diffraction were used to investigate how the thin film optical properties, residual stresses, and structure depend on these parameters. The results showed that the deposition rate had a significant influence on the increase of the refractive index of YSZ thin films while the oxygen partial pressure had less influence on it. In all samples, the tensile stress increased with the increasing of deposition rate and the decreasing of oxygen partial pressure. Meanwhile, all deposited films were poly-crystallizations, while crystallite size and preferential orientation of YSZ thin films changed as a function of deposition rate and oxygen partial pressure. The variations of the optical spectra and residual stress corresponded to the evolution of the film structures induced by the deposition parameters.  相似文献   

16.
The mid-frequency pulsed plasma enhanced chemical vapour deposition (PECVD) of hydrogenated amorphous silicon carbonitride (a-SiCN:H) was investigated to prove the suitability of these films as a mechanical stiff insulator for the integration of piezoelectric fibres in microstructured aluminium plates. For the a-SiCN:H deposition trimethylsilane (SiH(CH3)3; 3MS) and nitrogen in mixture with argon were used. The films were characterised regarding their deposition rate, elastic modulus and hardness (nanoindentation), mechanical stress, elemental composition (ERDA) and electrical insulating properties.The breakdown field strength of μm-thick a-SiCN:H films is in the range of 2–4 MV/cm. At pressures of a few Pa the deposition rate reached values up to 6 μm/h. It is limited by the power absorption in the 100 kHz bipolar-pulsed discharge. Varying the pressure from 2 Pa to 15 Pa has only little influence on the film composition. With increasing pressure during deposition the elastic modulus of the films decreases from about 146 GPa to 100 GPa and the compressive film stress from 1.2 GPa to 0.55 GPa. By reducing the 3MS flow rate from 50 sccm to 10 sccm (at 8 Pa deposition pressure), the carbon and the hydrogen concentrations in the films were reduced by about 10 at. %. The Si-content is only slightly reduced but the N-content is more than tripled. In contrast, the changes in the mechanical film properties are comparatively small. The mechanical properties of a-SiCN:H films are not simply correlated to the stoichiometry but are rather controlled by the ion bombardment during growth.  相似文献   

17.
C.Q. Liu  W.L. Li 《Thin solid films》2008,516(6):1265-1270
Based on the Landau-Devonshire theory, the effects of texture and residual stress on the transition of ferroelectric perovskite thin films with C-axis polarization were studied where the influence of texture dispersion was considered. Using the results, the effect of residual stress on the transition temperature of textured ferroelectric film can be predicted. As an example, the influence rules of residual stress on the PbTiO3 films with various texture types and degrees were obtained. For (001)-oriented PbTiO3 film, the compressive stress increases the transition temperature, but tensile stress decreases the transition temperature. And the shift of the transition temperature increases with the texture degree increasing for both compressive stress and tensile stress in (001)-oriented PbTiO3 films. For (111)-oriented PbTiO3 film, the effects of stresses for different texture degrees on the transition temperature are different from that for (001)-oriented PbTiO3 film. At the same residual stress level, the shift of the transition temperature in (001)-oriented PbTiO3 film is greater than that in (111)-oriented PbTiO3 film.  相似文献   

18.
The cantilevered substrate technique has been used to measure the stress in aluminium and silicon monoxide films deposited in sequence on glass substrates. The stress is compressive in aluminium and tensile in silicon monoxide although the latter may be changed by prior exposure of the aluminium film to atmosphere.Electrical measurements were made on capacitors fabricated during the stress experiments. These showed that a definite relation existed between the dielectric loss and the stress.Possible reasons are suggested for these results.  相似文献   

19.
直流电沉积法在Fe基体上制备Ni膜和在Cu基体上制备Ag膜,利用悬臂梁法在线测量了膜中的平均应力,并计算了膜内分布应力,且对膜内平均应力的实验结果与Thomas?Feimi?Dirac?Cheng(TFDC)电子模型理论估算结果进行了对比。结果表明,Fe基体上Ni膜的平均应力和分布应力均为拉应力,而Cu基体上Ag膜的平均应力和分布应力均为压应力。两种膜的内应力均由界面应力引起。对于相同的基体和镀膜,膜内平均内应力的理论估算值与实验值较接近。  相似文献   

20.
Ar压强对硅基Al膜应力和微结构的影响   总被引:1,自引:0,他引:1  
用直流磁控溅射法在室温Si基片上制备了溅射时间分别为5 min和10 min,氩气压强分别为0.7、3、6 Pa的6种Al膜,用光学相移法和X射线衍射法对Al膜的应力和微结构随着压强的变化进行了研究.应力分析表明:在同一溅射时间,随着氩气压强的减小,Al膜厚度增大,在相同选区范围内,Al膜的应力差变小,应力分布趋于均匀.结构分析表明:制备的Al膜呈多晶状态,晶体结构仍为面心立方,在相同溅射时间下,压强为0.7 Pa的Al膜结晶度最好.随着压强的减小,平均晶粒尺寸和晶格常数增大.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号