首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 234 毫秒
1.
SOC中IP核重用技术及其接口模型   总被引:2,自引:0,他引:2  
赵辉 《中国集成电路》2005,(11):56-58,51
SoC是超大规模集成电路的发展趋势和新世纪集成电路的主流.其复杂性以及快速完成设计、降低成本等要求,决定了系统级芯片的设计必须采用IP(Intellectual Property)重用的方法.本文介绍可重用IP设计方法,以及IP的接口模型,有效的接口可以提高重用率,从而提高SoC的设计效率.0CP(开放核协议)将软件中的分层概念应用到IP核接口,提供一种具有通用结构的接口协议,方便了IP核与系统的集成.  相似文献   

2.
文章以SystemC为验证语言的通信系统的可重用验证平台的设计思路,通过层次化设计,将验证平台划分为4个层次:用户层、配置管理层、总线功能模型层和待测设计层.介绍了各层接口的通信方式,着重介绍了用户层模块、算法模块、端口模块、激励产生模块、总线功能模块以及结果比较模块重用设计方法,依照此方法能快速高效地搭建可重用的验证平台.  相似文献   

3.
介绍了一种基于UVM验证方法学的SoC模块级验证平台的构建方法.该平台针对基于AMBA总线的AES硬件加速器IP的功能验证需求,采用面向对象的层次化建模方法,完成可重用AMBA通用验证化组件,参考模型以及验证事务级建模的随机化高功能覆盖率测试向量的可重用工作.该平台面向基于AMBA总线的SoC模块级验证领域实现可重用性.验证结果表明,基于随机化验证策略的验证平台在功能覆盖率收敛效率上提高了21.4%.  相似文献   

4.
面向可重用SoC设计的片上总线   总被引:1,自引:0,他引:1  
杨健 《电子设计应用》2005,(5):18-18,20,22
引言随着深亚微米技术的发展和应用,工艺上已经允许设计包含几亿个晶体管的芯片。运用这种工艺,完全可以实现在一块芯片内集成一个系统,即所谓的SoC,这样必然使芯片的设计方法也随之发生变化。复杂芯片的设计中最常用的方法是可重用设计。目前,设计人员面临的挑战已经不再是是否有必要采用可重用设计方法,而是如何使用可重用设计方法,从而使它在设计过程中发挥更高的效率。可重用设计的总线标准如何在实际设计时更有效地对各种IP核进行互联是可重用设计方法关注的一个重要问题。如果在设计中采用自定义总线,可能会得到比较优化的性能,但是…  相似文献   

5.
软件重用在监控系统开发中的应用   总被引:1,自引:0,他引:1  
文章讨论了基于重用的监控软件用通用化方案,介绍了可重用部件库的组织方法及利用可重用部件合成软件的策略,详细探讨了利用软件重用的思想和技术手段时对监控系统的三个子系统进行通用化软件的设计的方法。  相似文献   

6.
可重用IP技术与软硬件协同设计、深亚微米设计技术是SoC设计的关键技术支撑。本文首先把片上系统的设计方法和传统的基于线负载模型的ASIC设计方法进行比较。然后较详细地探讨了可重用IP模块的定义、可重用IP模块的设计过程、可重用IP模块的选择等。  相似文献   

7.
为了让更多的读者深入了解系统芯片(SoC)的设计方法,更快地在我国电子设计界组织和推广基于平台的SoC设计方法,作者综述了IC设计方法的发展历史,介绍了SoC芯片设计与IP软核、固核和硬核设计的关系.通过对IP核的要求和对SoC设计环境的介绍,作者展示了几十万门到几千万门组成的系统芯片设计是如何分步骤完成的.我国电子设计界还需要做哪些努力,才能孵化出数目众多的自主IP核开发服务公司,以此为基础营造自己的IP交易平台,从而以更低的成本逐步占领世界高端系统芯片产品的设计市场,为国产电子设备提供更多的具有自有知识产权模块的SoC芯片.  相似文献   

8.
什么是SOC?     
《电子质量》2009,(3):30-30
SoC(System on a Chip)中文名是才。20世纪90年代中期,因使用ASIC实现芯片组受到启发,萌生应该将完整计算机所有不同的功能块一次直接集成于一颗硅片上的想法。SoC应由可设计重用的IP核组成,IP核是具有复杂系统功能的能够独立出售的VLSI块;IP核应采用深亚微米以上工艺技术;SoC中可以有多个MPU、DSP、MCU或其复合的IP核。  相似文献   

9.
史江一  朱志炜  方建平  郝跃   《电子器件》2007,30(1):148-151
设计能力和工艺集成能力之间差距的不断扩大阻碍了片上系统的有效开发,为此必须提高设计人员的设计能力,降低产品开发周期和成本.利用IP参数化技术,把设计重用方法应用于8位微控制器设计,提出了基于IP核重用的8位微控制器设计方法,重用开发人力消耗节约70%,显著提高了设计效率,并通过实际微控制器系列设计实例阐述了该设计方法的实施和IP核复用策略.  相似文献   

10.
基于ARM7TDMI的SoC芯片的FPGA验证平台设计   总被引:4,自引:0,他引:4  
针对片上系统(SoC)开发周期较长和现场可编程门阵列(FPGA)可重用的特点,设计了基于ARM7TDMI处理器核的SoC的FPGA验证平台,介绍了怎样利用该平台进行软硬件协同设计、IP核验证、底层硬件驱动和实时操作系统设计验证.使用该平台通过软硬件协同设计,能够加快SoC系统的开发.整个系统原理清晰,结构简单,扩展灵活、方便.  相似文献   

11.
教模混合系统芯片(SoC)验证技术是SoC设计中的一个难点。文中基于8051核总线构建一个8位SoC设计验证平台,利用NC-SIM的数字仿真环境和Hsim的模拟仿真环境相结合的方式,对整个混合电路进行验证。该验证环境是建立在IP复用规范的基础上,具有很强的可移植性。同时该环境使用的激励文件和IP可以被一起设计复用,因此在仿真精度和仿真速度都能够得到保障的前提下,可以大大减轻电路混合验证的工作量。通过该混合验证环境,成功设计一个8位SoC芯片,功能和性能指标都达到用户要求。  相似文献   

12.
基于对IP核复用的集成效率考虑,针对片上系统的设计特点构造了一种新型的IP核模型.该模型包括用于描述IP核的延迟信息的时序接口模块、多时钟域适应的再同步接口模块和IP功能描述模块.然后给出了该模型在片上系统中的集成方法.实际电路综合结果表明,和现有IP核集成相比,应用该模型进行片上系统集成,设计效率可以提高近30%,性能提高约15%.  相似文献   

13.
SoC片上总线技术的研究   总被引:6,自引:1,他引:5  
在SoC设计中,经常会遇到一些问题,包括IP核移植性、设计复用、设计验证,以及公共设计平台的搭建。如何有效地解决这些问题,使得设计SoC系统就像设计微机系统那样方便快捷,这就是片上总线系统提出的目的。本文通过对AMBA, AVALON, OCP,WISHBONE等SoC总线的比较,分析了SoC片上总线技术。  相似文献   

14.
使用SystemC设计片上自演化系统   总被引:1,自引:1,他引:0  
提出片上自演化系统的概念和基于SystemC的片上自演化系统设计方法,给出片上自演化系统的总体结构,使用SystemC建模搭建自演化系统实验平台.以典型低通切比雪夫滤波器为例,验证了实验平台的有效性.使用SystemC设计自演化系统既可在较高的抽象水平搭建自演化系统模型,加速验证、性能分析和探索系统结构,又可方便地进行软硬件协同设计,并最终达到硬件实现.  相似文献   

15.
片上系统(System on Chip,SoC)是芯片设计的发展趋势,仿真与验证是芯片设计中最复杂、最耗时的环节之一。基于传统的数字电路验证方式对SoC设计验证效率低下的问题,提出了一种低耦合度的软/硬件联合仿真方法。软件调试过程的打印信息语句被微处理器仿真模型执行时,将向通用输入输出(General Purpose Input/Output,GPIO)输出相应的字符串,监视器模块检测GPIO的输出,并还原字符串信息,构建了软/硬件联合仿真。SoC设计实践证明,该方法大大减少了仿真的工作量,是一种非常实用有效的SoC仿真方法。  相似文献   

16.
一种在电路SOC验证接口设计方法研究   总被引:3,自引:3,他引:0  
SoC已经成为嵌入式系统设计中的关键器件,验证又是SoC设计的关键环节,占用SoC设计过程中60%以上的时间.专用测试设备及JTAG接口等主流SoC验证手段不便于SoC在系统联调时的验证.本文介绍了一种在电路SoC验证接口的设计方法,这种验证方法弥补了主流SoC验证方法在系统验证的不足,提高了SoC验证的效率.  相似文献   

17.
系统集成是实现电子产品高性能,小型化和低成本目标的重要手段。与同芯片上的系统集成(SoC)相比,封装层次上的系统集成(SiP)的开发具有成本低、周期短和灵活性高等优势。本文以典型的无线电子系统为例,提出了有效的系统分割设计方法,介绍了一些用于子系统模块封装的方法,并强调了系统公司与封装、基板及其它主被动元件供应商之间协调合作对成功的模块式电子系统开发的重要性。  相似文献   

18.
匡春雨  马琪  陈科明 《现代电子技术》2013,(24):149-151,155
给出了一个可用于SoC设计的SPI接口IP核的RTL设计与功能仿真。采用AMBA2.0总线标准来实现SPI接口在外部设备和内部系统之间进行通信,在数据传输部分,摒弃传统的需要一个专门的移位传输寄存器实现串/并转换的设计方法,采用复用寄存器的方法,把移位传输寄存器和发送寄存器结合在一起,提高了传输速度,也节约了硬件资源。采用SoC验证平台进行SoC环境下对IP的验证,在100MHz时钟频率下的仿真和验证结果表明,SPI接口实现了数据传榆,且满足时序设计要求。  相似文献   

19.
游余新 《中国集成电路》2011,20(9):29-35,72
为了缩短产品上市时间并降低设计成本,ESL设计方法学已被越来越多的复杂SoC设计所采纳。本文以图像处理的SoC为例,利用可裁减的TLM2.0建模方法快速搭建系统,进行系统级验证,探索不同架构对系统性能的影响,并进一步生成虚拟原型,进行软件调试。借助于Mentor Graphics公司提供的ESL解决方案,将图像缩放模块的C++描述无误地综合成吞吐率为1pixel/clock的高质量RTL代码,同时生成反映硬件性能的TLM2.0模型,减少了ESL建模的工作量,极大地提高了设计效率,论证了ESL设计方学的可行性。  相似文献   

20.
一种多处理器原型及其系统芯片设计方法   总被引:2,自引:1,他引:1       下载免费PDF全文
 随着嵌入式应用快速发展,系统芯片(SoC)设计日趋复杂.高效可靠的设计多处理器系统芯片逐渐成为一个巨大挑战.本文提出一种多处理器原型及其SoC设计方法,将多处理器及其通信统一建模于一个多层次、灵活和可配的软硬件原型中,通过分层次、从高层抽象到底层实现逐步深入的方法解决软硬件接口验证问题和完善软硬件架构.H.264解码实验证明多处理器原型功能可行性和物理可实现性.基于该原型的多层次细化方法可有效确保SoC软硬件设计的正确性,并有助于软硬件结构协同设计优化.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号