首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 44 毫秒
1.
We investigated the influence of the wire-like Si dopant incorporation on the photoluminescence (PL) properties of samples with a thin GaAs cap layer. The near band gap PL has been found to be dominated by exciton recombination in the 10 nm cap layers. For samples grown on vicinal GaAs(001) surfaces under conditions favourable for the wire-like Si incorporation, a considerable enhancement of the exciton PL intensity as well as of the PL decay time has been found.  相似文献   

2.
Al0.3Ga0.7As:Si/GaAs modulation-doped field-effect transistor-type heterostructures were grown using two different growth temperatures (500 and 620°C) and three doping modes (δ-doping, pulse-doping, and uniform-doping). Deep level transient spectroscopy (DLTS) measurements were performed on these structures using a new Fourier-analysis method. Up to four DLTS peaks, related to the different possible configurations of the nearest Al and Ga neighbors around each DX site, were observed. Both the growth temperature and the doping-mode are found to affect the DLTS spectra, in particular the number of observed peaks and their width. These results are interpreted in terms of the different mobilities of the Si doping atoms on the surface during growth.  相似文献   

3.
A growth parameter study was made to determine the proper of a SiGe superlattice-type configuration grown on Si substrates by chemical vapor deposition (CVD). The study included such variables as growth temperature, layer composition, layer thickness, total film thickness, doping concentrations, and film orientation. Si and SiGe layers were grown using SiH4 as the Si source and GeH4 as the Ge source. When intentional doping was desired, diluted diborane for p-type films and phosphine for n-type films were used. The study led to films grown at ∼1000°C with mobilities from ∼20 to 40 percent higher than that of epitaxial Si layers and ∼100 percent higher than that of epitaxial SiGe layers grown on (100) Si in the same deposition system for net carrier concentrations of ∼8x1015 cm-3 to ∼2x1017 cm-3. Enhanced mobilities were found in multilayer (100)-oriented Si/Si1-xGex films for layer thicknesses ≥400A, for film thicknesses >2μm, and for layers with x = 0.15. No enhanced mobility was found for (111)-oriented films and for B-doped multilayered (100)-orlented films. Supported in part by NASA-Langley Research Center, Hampton, VA, Contract NAS1-16102 (R. Stermer & A. Fripp, Contr. Mon.)  相似文献   

4.
Carbon doping in AlxGa1−xAs was achieved using different approaches. The moderate growth temperature of 650°C was employed to grow C bulk-doped AlxGa1−xAs with a high Al mole fraction. The hole-density was altered using different V/III ratios. The trimethylaluminum (TMAl) was used as an effective C δ-doping precursor for growth of C δ-doped pipi doping superlattices in AlxGa1−xAs. the average hole-density of C δ-doped pipi superlattices was greater than 2−3 × 1019 cm−3. Zn-free GRINSCH In0.2Ga0.8As/GaAs laser structures were then grown using the C bulk-doped AlxGa1−xAs and C δ-doped pipi superlattice as a cladding and ohmic contact layer, respectively. The ridge waveguide laser diodes were fabricated and characterized to verify flexibility of these two doping approaches for device structures.  相似文献   

5.
The defect engineering in metalorganic vapor phase epitaxy InxGa1-xAs and InP by controlled oxygen doping using diethyl aluminum ethoxide (DEALO) was developed in this study. DEALO doping has led to the incorporation of Al and O, and the compensation of shallow Si donors in InxGa1−xAs: Si with 0 ≤ x ≤ 0.25. With the same DEALO mole fraction during growth, the incorporation of Al and O was found to be independent of x, but the compensation of Si donors decreases with increasing In content. Deep level transient spectroscopy analysis on a series of InxGa1-xAs: Si. samples with 0 ≤ x ≤ 0.18 revealed that oxygen incorporation led to a set of deep levels, similar to those found in DEALO doped GaAs. As the In composition was increased, one or more of these deep levels became resonant with the conduction band and led to a high electron concentration in oxygen doped In0.53Ga0.47As. Low temperature photoluminescence emission measurements at 12K on the same set of samples revealed the quenching of the near-band edge peak, and the appearance of new oxygen-induced emission features. DEALO doping in InP has also led to the incorporation of Al and O, and the compensation of Si donors due to oxygen-induced multiple deep levels.  相似文献   

6.
The photoluminescence spectra of (100) GaAs layers, both undoped and doped with silicon, is investigated at T=77 K. It is found that along with the B-band, which corresponds to interband radiative recombination, the spectra of doped layers also exhibit a so-called Si-band located near ⋍1.4 eV. In multilayer δ-doped structures, an additional band appears in the region ⋍1.47–1.48 eV, which is called here the δ-band. The dependence of the energy positions, intensities, and shapes of these photoluminescence bands on the doping dose N Si, laser excitation power, and temperature are investigated. It is shown that the Si-band is caused by optical transitions between the conduction band and a deep acceptor level (∼100 meV) connected with Si atoms on As sites. It is also established that the dependences of the shape and intensity of the δ-band on temperature and photoluminescence excitation power are identical to the corresponding dependences for the B-band. The behavior of the δ-band in the photoluminescence spectra is viewed as evidence of quantum-well effects in the δ-doped structures. Fiz. Tekh. Poluprovodn. 32, 1060–1063 (September 1998)  相似文献   

7.
We investigate differences in Si doping of GaAs and AIGaAs between group-V sources. Si2H6 and SiH4 doping dependence on growth temperature, V/III ratio, total flow rate, growth rate, and off angle of substrate orientation was examined using tertiarybu-tylarsine (TBAs), monoethylarsine (EtAs), and arsine with a horizontal atmospheric pressure reactor. With either dopant source, Si incorporation for films grown using TBAs or EtAs was always higher than that using arsine. Using silane, dependence of Si incorporation on growth temperature and total gas flow velocity is different between group-V sources. Using disilane, dependence on V/III ratio and total gas flow velocity is different between group-V sources. These results imply that gas phase reactions play an important role. From the kinetic simulation of the decomposition of group-V sources, we verified that the concentrations of AsH3, AsH2, and AsH in vapor near the substrate are quite different among group-V sources. AsH2 is dominant reactant when using TBAs. We propose that H2AsSiH3 (silylarsine) is formed by the reaction between AsH2 radical and SiH4 and silylarsine should contribute Si incorporation reactions, resulting in high Si incorporation efficiency with TBAs and EtAs. We also suggest that AsH3 inhibits Si incorporation.  相似文献   

8.
Selective area epitaxial growth of Ga0.47In0.53As on InP substrates patterned with silicon nitride was done by low pressure organometallic vapor phase epitaxy. Good surface morphology and clean side walls of the epitaxial layers were obtained in most of the areas of selective GalnAs growth. However, both GaAs incorporation and InAs incorporation increased near the edges of the selective growth areas due to the extra flux of Gacontaining and In-containing species migrating on the surface of silicon nitride. The increase in InAs incorporation was found at a higher rate when the adjacent silicon nitride area was large, hence, cross-hatching appeared near the edges. A characteristic length of adjacent silicon nitride seemed to be connected with the enhanced InAs incorporation, which was about 40μm at 600°. The non-uniformities in composition appeared in all wafers grown in the temperature range between 570 and 650°.  相似文献   

9.
A chemical vapor deposition “memory effect” is the tendency of a source material to adsorb onto the internal walls of the system and subsequently desorb after nominal source shutoff. H2Se, the most common Se transport agent in metalorganic chemical vapor deposition (MOCVD), adsorbs onto stainless steel and glass surfaces. Among the consequences are: graded doping profiles at the end of Se-containing epitaxial layers and the depletion of H2Se gas mixtures with time. By contrast, SiH4, the most common Si transport agent, exhibits negligible memory effect and is recommended as an alternate n-dopant source when memory effects must be entirely eliminated. Capacitance-voltage measurements are used to quantify the extent of the t2Se “memory effect” in GaAs to identify methods for its reduction or elimination, and to distinguish the effects of diffusion and memory upon observed doping profiles. Memory effects are also anticipated when Se is a primary constituent of a semiconductor and H2Se the transport agent.  相似文献   

10.
We have studied the chemical and electrical properties of Si doped GaAs layers grown from SiH4. - TMG - ASH3 - H2 at atmospheric pressure in a large scale metal-organic chemical vapor deposition (MOCVD) reactor. Excellent mobilities at the high doping levels imply doping efficiency in our reactor is higher than previously reported. We have measured extremely uniform doping and doping-thickness product of the Si doped epilayers. The importance of reactor configuration and the surface controlled growth reaction is illustrated by the relatively temperature independent dopant incorporation and the growth rate dependence of the GaAs on the bulk Fermi level.  相似文献   

11.
The minority carrier lifetime of Si and the dielectric breakdown of SiO2 on Si has been investigated as a function of various high temperature treatments preceding the formation of the SiO2 layer. Annealing wafers in H2 or certain H2 -containing ambients prior to oxidation lea to a dramatic decrease in the number of breakdown defects found in capacitors. The higher the temperature the more effective is the defect removal. Using this process the defect density could be reproducibly controlled at ≤10 defects/cm , and in some cases wafers with no defects were found. The defects appear to be related to some airborn contamination and can be increased by exposure to air and to certain aqueous cleaning steps. By “soaking≓ the Si wafers in an equilibrium gas mixture containing SiH4 as well as HCl, it was possible to prevent etching of the Si but yet expose the wafer to approximately 4% HC1 for longer times and at higher temperatures, 12 75‡C, than is possible with the well known HCl-oxidation process. It was found that this treatment will remove Au, Fe, and Cu from intentionally contaminated wafers but at rates much slower than would be expected from bulk-diffusion, rate-limited transport. Soaking at 1275‡C led to minority carrier lifetimes comparable but not significantly better than for HCl-oxidized wafers.  相似文献   

12.
A novel photo-CVD process has been successfully applied to a low-temperature heavy-doping technique using SiH4 + SiH2F2 + H2 or SiH4 + SiH2F2 + GeH4 + H2 gas mixture at a very low-temperature of 250° C with PH3 as a doping gas. A maximum electron concentration of 2.3 × 1021 cm-3 and 1.3 × 1021 cm-3 was achieved for Si and Si0.9Ge0.1 epitaxial layer on a single-crystal silicon substrate. The resistivity of the sample monotonously decreased with decreasing the sample temperature, which implies that the carriers were not frozen out in low temperature regions (4K) and that the obtained samples were “metallic” semiconductors.  相似文献   

13.
Tellurium adsorption studies were made on clean and arsenic passivated (112) silicon surfaces. Quantitative surface coverage values for tellurium were determined by Auger electron spectroscopy. Saturation coverage of up to 1.2 monolayers of tellurium could be obtained on a clean (112) silicon surface. On an arsenic passivated (112) Si surface however, the tellurium saturation coverage was limited to only ∼0.3 monolayer. Analysis of the adsorption behavior suggested that tellurium and arsenic chemisorption occurs preferentially at step edges and on terraces, respectively. The study revealed that arsenic passivation led to a significant decrease in the sticking coefficient of tellurium and an increase in it’s surface mobility. A model describing zinc telluride nucleation on a (112) Si surface is proposed. Thin templates of ZnTe followed by Cd1−xZnxTe layers were deposited on (112) Si by molecular beam epitaxy (MBE). The characteristics of the MBE Cd1−xZnxTe layers were found to be sensitive to the initial ZnTe nucleation and Si surface preparation.  相似文献   

14.
We studied morphology of GaAs surfaces and the transport properties of two-dimensional electron gas (2DEG) on vicinal (111)B planes. Multi-atomic steps (MASs) are found on the vicinal (111)B facet grown by molecular beam epitaxy, which will affect electron transport on the facet. We also studied how the morphology of GaAs epilayers on vicinal (111)B substrates depends on growth conditions, especially on the As4 flux. The uniformity of MASs on the substrates have been improved and smooth surfaces were obtained when the GaAs was grown with high As4 flux, providing step periodicity of 20 nm. The channel resistance of the 2DEG perpendicular to the MASs is reduced drastically with this smooth morphology. These findings are valuable not only for fabricating quantum devices on the (111)B facets but also those on the vicinal (111)B substrates.  相似文献   

15.
We have investigated the crystallization of the oxide layer that grows on a deposited silicon film in a high temperature furnace. The growth of large SiO2 crystal grains can be controlled by interfacial stress or surface topography. When the Si film is deposited on topographically patterned surfaces, the SiO2 grains are nucleated along the edges and extremities of the relief structure. A competition in which faster growing grains terminate slower growing grains results in an average growth direction perpendicular to the edges. Single crystal grains of α-cristobalite up to hundreds of microns in length can be grown in this fashion.  相似文献   

16.
Molecular-beam epitaxy is used for growing structures differing in doping technique and doping level and having a high two-dimensional-electron concentration n s in the quantum well. The effect of doping combining uniform and δ doping on the electron-transport properties of heterostructures is investigated. A new type of structure with a two-sided silicon δ doping of GaAs transition layers located on the quantum-well boundaries is proposed. The largest value of electron mobility μH = 1520 cm2/(V s) is obtained simultaneously with a high electron density n s = 1.37 × 1013 cm−2 at 300 K with such a doping. It is associated with decreasing electron scattering by an ionized impurity, which is confirmed by the carried out calculations.  相似文献   

17.
V. M. Osadchii 《Semiconductors》1999,33(10):1119-1120
The potential and electron density distributions in AlGaAs/GaAs (δ-Si) structures grown on vicinal surfaces are calculated. It is shown that a lateral superlattice can form in the structures. The optimal technological parameters for obtaining a superlattice are estimated. Fiz. Tekh. Poluprovodn. 33, 1229–1231 (October 1999)  相似文献   

18.
Mg- and Si-doped GaN and AlGaN films were grown by metalorganic chemical vapor deposition and characterized by room-temperature photoluminescence and Hall-effect measurements. We show that the p-type carrier concentration resulting from Mg incorporation in GaN:Mg films exhibits a nonlinear dependence both on growth temperature and growth pressure. For GaN and AlGaN, n-type doping due to Si incorporation was found to be a linear function of the silane molar flow. Mg-doped GaN layers with 300K hole concentrations p ∼2×1018 cm−3 and Si-doped GaN films with electron concentrations n∼1×1019 cm−3 have been grown. N-type Al0.10Ga0.90N:Si films with resistivities as low as p ∼6.6×10−3 Ω-cm have been measured.  相似文献   

19.
We report on the growth of GaAs1−xNx thin films on GaAs substrates (2° off) by metalorganic vapor-phase epitaxy, in the temperature range 500–600°C. A mixture of N2 and H2 was used as the carrier gas. Using dimethylhydrazine as nitrogen source, we incorporated up to 3.5% of nitrogen, at 530°C. The growth condition dependence of nitrogen content was studied, and it reveals a distribution coefficient 350 times lower for nitrogen than for arsine at 530°C. Nitrogen incorporation is controlled by surface kinetics. The evolution of surface morphology has been investigated by atomic force microscopy as a function of the nitrogen composition and of growth temperature. For nitrogen content up to 2%, the GaAsN vicinal surface is characterized by a step–terrace structure with bunched steps, and the step edges straighten when increasing the growth temperature. For higher nitrogen content terraces are no longer observed and, above 3%, widely-spaced cross-hatch lines, characteristic of a partial relaxation of strain in the epilayers, appear. Optical properties were studied by low (7 K) and room-temperature photoluminescence and photoreflectance. As usual for this material, a degradation of optical characteristics is observed with increasing N content along with the evolution of surface morphology.  相似文献   

20.
The reaction kinetics in Remote Plasma-enhanced Chemical Vapor Deposition (RPCVD) have been studied for a chamber pressure of 200 mTorr, rf powers between 4 and 8 W, diluted silane flow rates between 5 and 40 sccm, and temperatures between 190 and 480° C. The observed temperature dependence of growth rate reveals a change in activation energy at 300–325° C, suggesting that hydrogen desorption is the rate limiting step in the deposition reaction. A strong dependence of growth rate on rf power has been attributed in part to the extension of the glow discharge region closer to the substrate at higher rf powers. Growth rate has been shown to increase when the sample is positioned closer to the glow, indicating that the reaction precursor is a short-lived species, probably SiH2 or SiH3. Growth rate has been shown to exhibit a sublinear dependence on silane partial pressure. Oxygen incorporation in the deposited films has been studied using Secondary Ion Mass Spectroscopy (SIMS), and it has been found that the main source of oxygen contamination is the process gases. However, it has also been found that “point of use” purification of the process gases reduces water and oxygen contamination significantly, reducing the oxygen incorporation in the films by an order of magnitude.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号