首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 953 毫秒
1.
Metal-oxide-semiconductor (MOS) devices fabricated with composite gates and subhundred-angstrom SiO2gate insulators grown by rapid thermal oxidation were characterized by various electrical measurements. The as-fabricated devices with unannealed rapidly grown oxides exhibited breakdown characteristics superior to furnace-grown oxides as evidenced by their excellent breakdown uniformity, an average breakdown field of 15 MV/cm, and an average breakdown charge density of over 50 C/cm2at a stress current density of 1 A/cm2. The preoxidation surface cleaning procedure was observed to affect the charge-to-breakdown and the densities of fixed oxide charges and surface states in these MOS structures.  相似文献   

2.
In this paper, we present high integrity thin oxides grown on the channel implanted substrate (3 × 1017 cm−3) and heavily doped substrate (1 × 1020 cm−3) by using a low-temperature wafer loading and N2 pre-annealing process. The presented thin oxide grown on the channel implanted substrate exhibits a very low interface state density (1 × 1010 cm−2 eV−1) and a very high intrinsic dielectric breakdown field (15 MV/cm). It also shows a lower charge trapping rate and interface state generation rate than the conventional thermal oxide. For the thin oxide grown on the heavily-doped substrate by using the proposed recipe, the implantation-induced damage close to the silicon surface can be almost annealed out. The presented heavily-doped oxide shows much better dielectric characteristics, such as the dielectric breakdown field and the charge-to-breakdown, as compared to the conventional heavily-doped oxide.  相似文献   

3.
A new rapid process for the growth of thin thermal oxide films on crystalline silicon is described. This rapid thermal oxidation (RTO) is performed in a controlled oxygen ambient with the heating provided by tungsten-halogen lamps. The resulting oxides with thicknesses from 40-130 Å have a uniformity of better than 2 percent across the 75-mm wafers. Oxidation times at 1150°C vary from 5 to 30 s. Typical breakdown fields of 100-Å oxide films were 13.8 MV/cm and typical midgap interface state densities were of the order of 1 × 1010eV-1cm-2. The present RTO films have characteristics equal to or better than furnace grown oxides and because of the short temperature-time cycle they have potential applications for submicrometer VLSI.  相似文献   

4.
The gate bias polarity dependence of charge trapping and time-dependent dielectric breakdown (TDDB) in nitrided and reoxidized nitrided silicon dioxides prepared by rapid thermal processing (RTP) is reported. Charge trapping during high-field injection can be reduced by rapid thermal nitridation for both substrate and gate injection. While reoxidation of nitrided oxides shows further reduction in charge trapping for substrate injection, degradation is observed for gate injection. Similar effects are observed for TDDB: reoxidized nitrided oxides show charge-to-breakdown in excess of 300 C/cm2 for substrate injection, but less than 30 C/cm2 for gate injection. These effects are related to the nitrogen and hydrogen profiles in the oxides. By tailoring the process conditions, a symmetric behavior of NO and RONO films with low charge trappings and Q BD in excess of 50 C/cm2 is possible, making them attractive as long-lifetime dielectrics from EEPROM (electrically erasable programmable ROM) and flash EEPROM technologies  相似文献   

5.
Nitridation of deposited instead of thermally grown oxides was studied to form high-quality inter-polysilicon dielectric layers for nonvolatile memories. It was found that by optimizing the texture and morphology of the polysilicon layers, and by optimizing the post-dielectric deposition-anneal, very high-quality dielectric layers can be obtained. In this paper, it is shown that not only for deposited gate oxides, but also for deposited inter-polysilicon oxides, rapid thermal annealing leads to previously unpublished improved electrical characteristics, like high charge to breakdown (Qbd≈20 C/cm2) and lower leakage currents. Moreover, the annealed dielectrics had less electron trapping when stressed  相似文献   

6.
A reliable fluorinated thin gate oxide prepared by liquid phase deposition (LPD) following rapid thermal oxidation (RTO) in O2 or nitridation (RTN) in N2O ambient was reported. Fluorine (F) atoms incorporated into the oxides during LPD process are found to be helpful to the improvement of oxide quality. It is observed that these fluorinated gate oxides show good properties in radiation hardness, charge to breakdown (Qbd), and oxide breakdown field (Eox) endurances. Interestingly, the Qbd 's for the fluorinated gate oxides are 10 times larger than those for the gate oxides prepared by RTO in O2 or RTN in N2 O directly. Some of the Eox's are even higher than 17 MV/cm for the samples investigated in this work  相似文献   

7.
The electrical characteristics of MOSFETs and MOS capacitors utilizing thin (80-230 Å) low-pressure chemical-vapor-deposited (LPCVD) oxide films deposited at 12 Å/min are presented. MOSFETs using CVD oxides show good electrical characteristics with 70-90% of the surface mobility of conventional MOSFETs. The CVD oxides exhibit the same low leakage current and high breakdown fields as the thermal oxides, and significantly lower trapping and trap generation rates than thermally grown oxides. Interface state densities of ⩽3×1010 cm-2 eV-1 are obtained from CVD devices by using a short annealing in oxygen ambient following the deposition. These results indicate that these LPCVD oxide films may be promising dielectrics for MOS device application  相似文献   

8.
The electrical characteristics of oxides deposited on nitrogen doped N-type 6H-silicon carbide using rapid thermal chemical vapor deposition are reported. The gases used in the deposition process were silane (diluted with argon), and nitrous oxide. The oxide was found to have an interface state density of 7×1011 cm-2 eV-1 and a low effective charge density of 1.1×10 11 cm-2. The deposited oxide is compared with oxide grown thermally on N-type 6H-silicon carbide by wet oxidation. The quality of the deposited oxide is found to be comparable to the quality of the thermal oxide. An excellent low thermal budget process to obtain good oxides on N-type 6H-silicon carbide has thus been demonstrated for the first time  相似文献   

9.
MOS capacitance measurements showed that the Si-Ta2O5interface prepared by thermal oxidation at ∼530°C of vacuum deposited Ta film followed by a heat treatment at 350°C in N2-H2is characterized by a negative "oxide" charge (6 × 1011e/cm-2at flat-band) and by an interface state density of ∼ 1 × 1012cm-2(eV)-1. The room temperature instability is small. The breakdown strength is >8 × 106V/cm.  相似文献   

10.
The reliability of thin gate oxides grown by rapid thermal oxidation in O2 followed by one and two step postoxidation annealing (POA) in N2 was studied. The one step POA was carried out by switching O2 into N2 immediately after oxidation without changing temperature, while the two step POA was cooled down first and subsequently heated to the same temperature as oxidation in N2. It was experimentally observed that the oxide thickness increases significantly with the POA time in one step POA, while the oxide thickness shows very little change during two step POA. The interfacial properties and the oxide breakdown endurance can be improved by the two step POA. Also, the radiation hardness of oxide is less degraded by the two step POA than by one step POA. The effect of oxide thickness variation due to POA is chiefly responsible for the observation and is important to thin gate oxides  相似文献   

11.
Extensive experimental results are reported about the rapid thermal O2 and N2O oxidation of silicon at pressures as low as 25 Torr. The decrease of the oxidation rate in N2O is smaller than in O2 atmosphere with decreasing pressure. Therefore, almost equal oxidation rates for the oxidation in O2 and N2O atmospheres were found at the lowest investigated pressure of 25 Torr. In addition, the low pressure oxides show better oxide homogeneities across the wafer; this is especially true for N2O oxides. Ultra-thin (down to 4 nm) dielectric films for application in metal-oxide-semiconductor (MOS) devices have been fabricated and electrically characterized. The low pressure oxides exhibit higher charge to breakdown values and dielectric breakdown fields than atmospheric pressure oxides.  相似文献   

12.
Experimental results are presented demonstrating that by using rapid thermal nitridation (RTN) of rugged poly-Si surface prior to Si 3N4 deposition, the quality and reliability of reoxidized Si3N4 dielectric (ON dielectric with an effective oxide thickness of about 35 Å) can be significantly improved over ON films on rugged poly-Si without RTN treatment. These improvements include significantly reduced defect-related dielectric breakdown, 103 × increase in TDDB lifetime, lower leakage current, and suppressed electron-hole trapping and capacitance loss during stress  相似文献   

13.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

14.
The deuterium concentration as high as 2×1020 cm -3 can be incorporated in rapid thermal oxide layers by a process of deuterium prebake and deuterium post oxidation anneal. The deuterium distributed not only at Si/oxide interface but also in the bulk oxide. The deuterium incorporation shows the improvement on soft breakdown characteristics and the interface state density at SiO2 /Si after stress. The addition of very high vacuum prebake process yields a deuterium concentration of 9× 1020 cm-3 , but also leads to the formation of rough oxide  相似文献   

15.
This paper reports the effects of post-deposition rapid thermal annealing on the electrical characteristics of chemical vapor deposited (CVD) Ta2O5 (~10 nm) on NH3-nitrided polycrystalline silicon (poly-Si) storage electrodes for stacked DRAM applications. Three different post-deposition annealing conditions are compared: a) 800°C rapid thermal O2 annealing (RTO) for 20 sec followed by rapid thermal N2 annealing (RTA) for 40 sec, b) 800°C RTO for 60 sec and c) 900°C RTO for 60 see. Results show that an increase in RTO temperature and time decreases leakage current at the cost of capacitance. However, over-reoxidation induces thicker oxynitride formation at the Ta2O5/poly-Si interface, resulting in the worst time-dependent dielectric breakdown (TDDB) characteristics  相似文献   

16.
In this letter, the authors present the process, growth kinetics, and electrical characteristics of tunnel oxides grown by furnace oxidation of silicon at 800 degC in an ambient of nitrous oxide (N2O) and water vapor. Tunnel oxides of thickness 82-92 Aring are grown by this "wet N2O oxidation" process, and the electrical characteristics such as the capacitance-voltage, current-voltage, voltage ramp, time-dependent dielectric breakdown, and charge to breakdown are evaluated using MOS capacitor as the diagnostic device. The results obtained clearly demonstrate superior performance characteristics of this oxide for Flash memory applications, with excellent charge to breakdown and minimum change in the gate voltage during constant current stressing  相似文献   

17.
Junction depth, sheet resistance, dopant activation, and diode leakage current characteristics were measured to find out the optimal processing conditions for the formation of 0.2-μm p+-n junctions. Among the 2×1015 cm-2 BF2 implanted crystalline, As or Ge preamorphized silicon, the crystalline and Ge preamorphized samples exhibit excellent characteristics. The thermal cycle of furnace anneal (FA) followed by rapid thermal anneal (RTA) shows better characteristics than furnace anneal, rapid thermal anneal, or rapid thermal anneal prior to furnace anneal  相似文献   

18.
Effects of residual surface nitrogen, remaining on the Si surface after stripping off tunneling oxynitrides (N2O-grown or NH 3-nitrided oxides), on the quality of the regrown gate oxides are studied. Residual surface nitrogen is observed to reduce the breakdown field and degrade the time-dependent dielectric breakdown (TDDB) characteristics of the subsequently grown gate oxides. Results show that oxide regrowth in N2O, rather than O2, can significantly suppress these undesirable effects  相似文献   

19.
Highly reliable inter-polysilicon oxide (polyoxide) for nonvolatile memory applications has been achieved using electron cyclotron resonance (ECR) N2O-plasma. It is demonstrated that the N2O-plasma polyoxide grown on doped poly-Si has a low leakage current and high breakdown field due to a smooth polyoxide/poly-Si interface and nitrogen incorporation during oxidation. Moreover, the polyoxide has much less electron trapping and over one order larger charge-to-breakdown (Qbd) up to 10 C/cm2 than thermal polyoxide. The N2O-plasma polyoxide can be a good choice for the interpoly dielectric of nonvolatile memories  相似文献   

20.
Leakage currents and dielectric breakdown were studied in MIS capacitors of metal-aluminum oxide-silicon. The aluminum oxide was produced by thermally oxidizing AlN at 800-1160°C under dry O2 conditions. The AlN films were deposited by RF magnetron sputtering on p-type Si (100) substrates. Thermal oxidation produced Al 2O3 with a thickness and structure that depended on the process time and temperature. The MIS capacitors exhibited the charge regimes of accumulation, depletion, and inversion on the Si semiconductor surface. The best electrical properties were obtained when all of the AlN was fully oxidized to Al2O3 with no residual AlN. The MIS flatband voltage was near 0 V, the net oxide trapped charge density, Q0x, was less than 1011 cm -2, and the interface trap density, Dit, was less than 1011 cm-2 eV-1, At an oxide electric field of 0.3 MV/cm, the leakage current density was less than 10-7 A cm-2, with a resistivity greater than 10 12 Ω-cm. The critical field for dielectric breakdown ranged from 4 to 5 MV/cm. The temperature dependence of the current versus electric field indicated that the conduction mechanism was Frenkel-Poole emission, which has the property that higher temperatures reduce the current. This may be important for the reliability of circuits operating under extreme conditions. The dielectric constant ranged from 3 to 9. The excellent electronic quality of aluminum oxide may be attractive for field effect transistor applications  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号