首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 593 毫秒
1.
A comparison of RTNO, N2O and N2O-ISSG ultrathin oxynitride gate dielectrics fabricated by combining a remote plasma nitridation (RPN) treatment with equal physical oxide thickness of 14 Å is explored. The N2O-ISSG oxynitride gate dielectric film demonstrates good interface properties, higher mobility and excellent reliability. This film by RPN treatment is thus attractive as the gate dielectric for future ultra-large scale integration (ULSI) devices  相似文献   

2.
This paper presents a study of the impact of gate-oxide N2 O anneal on CMOSFET's characteristics, device reliability and inverter speed at 300 K and 85 K. Two oxide thicknesses (60 and 110 Å) and five N2O anneal conditions (900~950°C, 5~40 min) plus nonnitrided process and channel lengths from 0.2 to 2 μm were studied to establish the correlation between the nitrogen concentration at Si/SiO2 interface and the relative merits of the resultant devices. We concluded that one simple post-oxidation N2O anneal step can increase CMOSFET's lifetime by 4~10 times, effectively suppress boron penetration from the P+ poly-Si gate of P-MOSFET's without sacrificing CMOS inverter speed. We also found that the benefits in terms of the improved interface hardness and charge trapping characteristic still exist at cryogenic temperature. All these improvements are found to be closely correlated to the nitrogen concentration incorporated at the Si/SiO2 interface. The optimal N2O anneal occurs somewhere at around 2% of nitrogen incorporation at Si/SiO2 interface which can be realized by annealing 60~110 Å oxides at 950°C for 5 min or 900°C for 20 min  相似文献   

3.
Electrical properties of MOSFETs with gate dielectrics of low-pressure chemical-vapor-deposited (LPCVD) SiO2 nitrided in N2O ambient are compared to those with control thermal gate oxide. N2O nitridation of CVD oxide, combines the advantages of interfacial oxynitride growth and the defectless nature of CVD oxide. As a result, devices with N2O-nitrided CVD oxide show considerably enhanced performance (higher effective electron mobility), improved reliability (reduced charge trapping, interface state generation, and transconductance degradation), and better time-dependent dielectric breakdown (TDDB) properties (tBD ) compared to devices with control thermal oxide  相似文献   

4.
The post-polysilicon gate-process-induced degradation on the underlying gate oxide is studied. The degradation includes an increase in the electron trapping rate and a decrease in the charge-to-breakdown, Qbd, of the gate oxide. It is found that N2O nitrided gate oxide is more robust than O2 gate oxide in resisting the degradation. Also, to grow a thin polyoxide on the polysilicon-gate in N2O rather than in O2 lessens the degradation on the underlying gate oxide. It is nitrogen, which diffuses through the polysilicon gate and piles up at both polysilicon/oxide and oxide/silicon-substrate interfaces, that improves the oxide quality for the N2O process  相似文献   

5.
High-field breakdown in thin oxides grown in N2O ambient   总被引:1,自引:0,他引:1  
A detailed study of time-dependent dielectric breakdown (TDDB) in N2O-grown thin (47-120 Å) silicon oxides is reported. A significant degradation in breakdown properties was observed with increasing oxide growth temperatures. A physical model based on undulations at the Si/SiO2 interface is proposed to account for the degradation. Accelerated breakdown for higher operating temperatures and higher oxide fields as well as thickness dependence of TDDB are studied under both polarities of injection. Breakdown under unipolar and bipolar stress in N2O oxides is compared with DC breakdown. An asymmetric improvement in time-to-breakdown under positive versus negative gate unipolar stress is observed and attributed to charge detrapping behavior in N2O oxides. A large reduction in time-to-breakdown is observed under bipolar stress when the thickness is scaled below 60 Å. A physical model is suggested to explain this behavior. Overall, N2O oxides show improved breakdown properties compared with pure SiO2  相似文献   

6.
Stress-induced leakage current (SILC) is studied in ultrathin (~50 Å) gate oxides grown in N2O or O2 ambient, using rapid thermal processing (N2O oxide or control oxide, respectively). MOS capacitors with N2O oxides exhibit much suppressed SILC compared to the control oxide for successive ramp-up, constant voltage DC, and AC (bipolar and unipolar) stresses. The mechanism for SILC is discussed, and the suppressed SILC in N2O oxide is attributed to suppressed interface state generation due to nitrogen incorporation at the Si/SUO2 interface during N2O oxidation  相似文献   

7.
Time-dependent dielectric breakdown (TDDB) characteristics of MOS capacitors with thin (120-Å) N2O gate oxide under dynamic unipolar and bipolar stress have been studied and compared to those with control thermal gate oxide of identical thickness. Results show that N2O oxide has significant improvement in t BD (2×under-Vg unipolar stress, 20×under+Vg unipolar stress, and 10×under bipolar stress). The improvement of tBD in N2O oxide is attributed to the suppressed electron trapping and enhanced hole detrapping due to the nitrogen incorporation at the SiO2/Si interface  相似文献   

8.
Effects of oxide growth temperature on time-dependent dielectric breakdown (TDDB) characteristics of thin (115 Å) N2O-grown oxides are investigated and compared with those for conventional O2-grown SiO2 films with identical thickness. Results show that TDDB characteristics of N2O oxides are strongly dependent on the growth temperature and, unlike conventional SiO2, TDDB properties are much degraded for N 2O oxides with an increase in growth temperature. Large undulations at the Si/SiO2 interface, caused by locally retarded oxide growth due to interfacial nitrogen, are suggested as a likely cause of degradation of TDDB characteristics in N2O oxides grown at higher temperatures  相似文献   

9.
In this study, oxide stacks formed by combinations of rapid thermal chemical vapor deposition and rapid thermal oxidation have been investigated as gate dielectrics. This was achieved by performing various types of in situ rapid thermal oxidations both prior to and after oxide deposition to form composite stacked structures. The oxidation ambient and temperature was varied to study the effect on electrical properties such as mobility, leakage current, charge trapping, breakdown and hot carrier degradation. It was found that pre-oxidation prior to depositing an oxide results in a composite structure that greatly reduces the defect density by mismatching pores and weak spots in each film. The mobility behavior of these films was also found to be improved over as-deposited oxides. Post-deposition oxidation in O2 and N2O was also found to improve the mobility characteristics. Additionally, post-annealing in N2 O was effective in improving the reliability of deposited oxides. These N2O annealed films had low interface trap densities, improved high field mobility, very low charge trapping characteristics and enhanced resistance to hot carrier induced interface state generation. These improvements are attributed to 1) the presence of nitrogen at the interface and 2) to the reduction of nitrogen and hydrogen concentrations in the bulk of the oxide. The role of atomic oxygen during the post-anneal in N2O is discussed along with differences in annealing ambients  相似文献   

10.
Oxynitrides were grown on n- and p-type 6H-SiC by wet N2O oxidation (bubbling N2O gas through deionized water at 95°C) or dry N2O oxidation followed by wet N2O oxidation. Their oxide/SiC interfaces were investigated for fresh and stressed devices. It was found that both processes improve p-SiC/oxide but deteriorate n-SiC/oxide interface properties when compared to dry N2O oxidation alone. The involved mechanism could be enhanced removal of unwanted carbon compounds near the interface due to the wet ambient, and hence a reduction of donor-like interface states for the p-type devices. As for the n-type devices, incorporation of hydrogen-related species near the interface under the wet ambient increases acceptor-like interface states. In summary, wet N 2O oxidation can be used for providing comparable reliability for nand p-SiC MOS devices, and especially for obtaining high-quality oxide-SiC interfaces in p-type MOS devices  相似文献   

11.
The performance and reliability of p-channel MOSFETs utilizing ultrathin (~62 Å) gate dielectrics grown in pure N2O ambient are reported. Unlike (reoxidized) NH3-nitrided oxide devices, p-MOSFETs with N2O-grown oxides show improved performance in both linear and saturation regions compared to control devices with gate oxides grown in O2. Because both electron and hole trapping are suppressed in N2O-grown oxides, the resulting p-MOSFETs show considerably enhanced immunity to channel hot-electron and -hole-induced degradation (e.g., hot-electron-induced punchthrough)  相似文献   

12.
Growth of ultrathin (<100 Å) oxynitride on strained-Si using microwave N2O and NH3 plasma is reported. X-ray photoelectron spectroscopy (XPS) results indicate a nitrogen-rich layer at the strained-Si/SiO2 interface. The electrical properties of oxynitrides have been characterized using a metal-insulator-semiconductor (MIS) structure. A moderately low value of insulator charge density (6.1×1010 cm-2) has been obtained for NH3 plasma treated N2O oxide sample. Nitrided oxide shows a larger breakdown voltage and an improved charge trapping properties under Fowler-Nordheim (F-N) constant current stress  相似文献   

13.
Ultrathin oxynitride using plasma assisted deposition was evaluated against thermal oxide and nitrided thermal oxide as an alternative direct tunneling gate dielectric to thermal oxide in the 2.5-nm regime. The oxynitride showed an enhanced high field effective mobility relative to the thermal oxide although the low field mobility was slightly depressed. The N2O nitrided oxide showed an enhanced high field effective mobility with no degradation in low field mobility. The interface state density of the oxynitride was equivalent to that of the thermal and nitrided thermal oxides; a very welcome observation for this deposition chemistry and anneal conditions  相似文献   

14.
A novel technique of N2O treatment on NH3-nitrided oxide is used to prepare thin gate oxide. Experiments on MOS capacitors and nMOSFET's with this kind of gate dielectric show that N2O treatment is superior to conventional reoxidation step in suppressing both electron and hole trappings and interface trap creation under high-field stress. Interface hardness against hot-carrier bombardment and neutral electron trap generation are also improved. Thus, N2O treatment on NH3 -nitrided oxide shows excellent electrical and reliability properties, while maintaining sufficiently high nitrogen concentration in gate oxide which offers good resistance to dopant penetration  相似文献   

15.
MOS characteristics of ultrathin gate oxides prepared by furnace oxidizing Si in N2O have been studied. Compared to control oxides grown in O2, N2O oxides exhibit significantly improved resistance to charge trapping and interface state generation under hot-carrier stressing. In addition, both charge to breakdown and time to breakdown are improved considerably. MOSFETs with N2O gate dielectrics exhibit enhanced current drivability and improved resistance to gm degradation during channel hot-electron stressing  相似文献   

16.
The effects of post-oxidation N2O anneal on conventional thermal oxide are studied. The oxide thickness increase resulting from N2O anneal is found to be self-limiting and insensitive to initial oxide thickness, which makes the thickness of the resulting oxide easy to control. The N2O anneal leads to increased resistance against injection-induced interface-state generation and to reduced hole trapping. No further quality improvement is found when the N2O-annealed oxide is subject to an additional reoxidation. This finding confirms that nitrogen incorporation in the absence of hydrogen is responsible for improving the quality of the conventional oxides  相似文献   

17.
The systematic investigation of hole tunneling current through ultrathin oxide, oxynitride, oxynitride/oxide (N/O) and oxide/oxynitride/oxide (ONO) gate dielectrics in p-MOSFETs using a physical model is reported for the first time. The validity of the model is corroborated by the good agreement between the simulated and experimental results. Under typical inversion biases (|VG|<2 V), hole tunneling current is lower through oxynitride and oxynitride/oxide with about 33 at.% N than through pure oxide and nitride gate dielectrics. This is attributed to the competitive effects of the increase in the dielectric constant, and hence dielectric thickness, and decrease in the hole barrier height at the dielectric/Si interface with increasing with N concentration for a given electrical oxide thickness (EOT). For a N/O stack film with the same N concentration in the oxynitride, the hole tunneling current decreases monotonically with oxynitride thickness under the typical inversion biases. For minimum gate leakage current and maintaining an acceptable dielectric/Si interfacial quality, an N/O stack structure consisting of an oxynitride layer with 33 at.% N and a 3 Å oxide layer is proposed. For a p-MOSFET at an operating voltage of -0.9 V, which is applicable to the 0.7 μm technology node, this structure could be scaled to EOT=12 Å if the maximum allowed gate leakage current is 1 A/cm2 and EOT=9 Å if the maximum allowed gate leakage current is 100 A/cm2  相似文献   

18.
We have investigated RIE-induced damage in MOS devices with thermal oxide as well as N2O-annealed oxide as gate dielectrics. A systematic improvement in robustness against RIE-induced damage is seen when N2O flow rate and/or N2O anneal temperature are increased. We have demonstrated a N2O anneal process at 900°C, which provides a robust SiO2/Si interface against plasma damage and hot carrier stress  相似文献   

19.
It is found that increasing N2O annealing temperature and time monotonically reduces electron trapping in the resulting oxides. The improvement increases with oxide thickness. Reoxidation does not enhance but reduces the improvement. The behavior is different from and simpler to understand than that after NH3 annealing, apparently due to the absence of deleterious hydrogen. Hole trapping and interface trap generation are also suppressed by N2O annealing, though an optimum anneal condition may exist. Charge to breakdown exhibits modest improvement consistent with reduced electron trapping  相似文献   

20.
Electrical and reliability properties of ultrathin HfO2 have been investigated. Pt electroded MOS capacitors with HfO2 gate dielectric (physical thickness ~45-135 Å and equivalent oxide thickness ~13.5-25 Å) were fabricated. HfO2 was deposited using reactive sputtering of a Hf target with O2 modulation technique. The leakage current of the 45 Å HfO2 sample was about 1×10-4 A/cm 2 at +1.0 V with a breakdown field ~8.5 MV/cm. Hysteresis was <100 mV after 500°C annealing in N2 ambient and there was no significant frequency dispersion of capacitance (<1%/dec.). It was also found that HfO2 exhibits negligible charge trapping and excellent TDDB characteristics with more than ten years lifetime even at VDD=2.0 V  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号