首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 15 毫秒
1.
USB中的CRC校验原理及其Verilog HDL语言实现   总被引:4,自引:0,他引:4  
在数据和控制信息中加上循环冗余码是通用串行总线(USB)协议中一个重要的错误检测措施。接收端通过进行循环冗余校验(CRC),可以检测包在传输过程中是否发生损坏。硬件描述语言Verilog HDL常用于数字电子系统性设计,设计者可用它进行各种级别的逻辑设计。介绍了循环冗余码基本原理、USB协议中的循环冗余校验以及CRC校验的串、并行设计和Verilog HDL代码实现。  相似文献   

2.
孙志卓  唐忠莉  姜坤 《福建电脑》2006,(2):156-156,133
重点讨论了CRC-8校验在由单片机与微机组成的串行通信系统的应用,微机端CRC-8校验采用VC++与80X86汇编语言混合编程实现编码、解码子程序,既满足了动态编码解码要求,又为可视化编程提供了非常方便的程序接口。  相似文献   

3.
在工程实际中,针对监控现场的电磁干扰严重、环境恶劣、数据通讯量大以及对数据处理的时实性强等特点,常用16位CRC校验方式实现工业网络底层PLC与上位PC机通讯系统中数据链路层差错校验。本文重点介绍了CRC校验原理,利用查表法实现循环冗余校验,并编写了基于西门子(SIEMENS)S7—200 PLC自由通信的CRC校验程序,应用于武汉地铁1号线的变电站监控系统。结果表明,该算法有利于提高系统的稳定性和抗干扰能力,保证了数据传输的准确性。  相似文献   

4.
数据传送的准确性和连贯性是串行通信系统的重要性能指标,通常采用一定的容错技术,广泛使用的有奇偶校验、CRC校验和海明码校验等,但奇偶校验无法检验出偶数个码元出错,CRC4校验只能检错2位或纠错1位。通过综合运用奇偶校验和CRC-4校验,提出一种综合容错技术,能够纠错1位和检错2位、检错4位、检错2.7%的3位码元错。  相似文献   

5.
单片机上软件实现循环冗余校验的方法   总被引:2,自引:0,他引:2  
本文根据数学推导和工程实践的结果,论述了在单片机上如何运用软件方法,使生成多项式CRC─CCITT和CRC—16在串行同步通信中实现循环冗分校验的问题,并给出了详细的程序示例,还指明了用循环冗余校验的原理计算HDLC规程帧校验序列时的区别。  相似文献   

6.
基于LabVIEW的CRC检错码校验方法   总被引:1,自引:0,他引:1  
在远程数据采集和控制系统中,通常采用串行通信方式在上、下位机间或主、从机间传输信息,为了保证通信信息的准确无误,采用一定的差错检测手段是必要的。差错检测可以由硬件实现,也可以由软件实现,随着计算机速度的提高,越来越向软件处理的方向发展。差错检测方法有很多种,其中CRC校验法因其检错能力强而被广泛使用。本根据CRC校验法的原理,着重讨论基于LabVIEW的CRC检错码校验方法。  相似文献   

7.
LTE系统的CRC校验算法研究及DSP实现   总被引:1,自引:0,他引:1  
通过对两种常用CRC校验算法的研究分析,为TD-LTE测试仪表系统选择了一种最优的CRC校验算法,并在TMS320C64xDSP中实现。将CRC校验程序在CCS3.3中运行,其结果验证了算法的可行性、高效性。  相似文献   

8.
基于LabVIEW的串口通信数据校验和的实现方法   总被引:3,自引:0,他引:3  
串行通信在基于PC机的测控领域中的应用非常流行,为了避免通信差错需要对数据进行检错,较常用的方法有异或和、校验和、循环冗余码校验(CRC)等方法.重点介绍了校验和的实现原理以及基于LabVIEW的串口通信数据校验和的实现方法,并对该方法在PC机上进行了实际验证.实验表明,该方法简单实用、运行可靠,可在基于PC机的测控程序中加以实际运用.  相似文献   

9.
基于串行异步收发器(UART)的通信中经常用到循环冗余校验(CRC),常见的CRC校验电路多为串行校验,校验所需时钟周期较多,基于查找表或输入矩阵转换的并行算法,需要存储余数表,占用大量的硬件资源.该文利用输入和校验多项式的逻辑关系,成功地将基于字节的并行CRC校验算法运用于UART控制器中,在Xilinx公司的可编程门阵列(FP GA)芯片上验证通过,可实现连续多个字节校验.校验一个bit需要1/8时钟周期,降低了校验所需时钟频率,提高了通信的效率,保证了通信的可靠性.  相似文献   

10.
USB协议提供了强大的错误处理功能,其中包括对传送数据进行CRC校验。发送器在位填充前产生CRC,接收器在位填充去除后对CRC进行译码。如果CRC译码失败,忽略该包。本文首先分析USB中CRC校验的数学原理,然后给出硬件设计方案,包括串行CRC设计和并行CRC设计。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号