首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 233 毫秒
1.
It has been reported that high-temperature (~1100°C) N2 O-annealed oxide can block boron penetration from poly-Si gates to the silicon substrate. However, this high-temperature step may be inappropriate for the low thermal budgets required of deep-submicron ULSI MOSFETs. Low-temperature (900~950°C) N2O-annealed gate oxide is also a good barrier to boron penetration. For the first time, the change in channel doping profile due to compensation of arsenic and boron ionized impurities was resolved using MOS C-V measurement techniques. It was found that the higher the nitrogen concentration incorporated at Si/SiO2 interface, the more effective is the suppression of boron penetration. The experimental results also suggest that, for 60~110 Å gate oxides, a certain amount of nitrogen (~2.2%) incorporated near the Si/SiO2 interface is essential to effectively prevent boron diffusing into the underlying silicon substrate  相似文献   

2.
We have investigated RIE-induced damage in MOS devices with thermal oxide as well as N2O-annealed oxide as gate dielectrics. A systematic improvement in robustness against RIE-induced damage is seen when N2O flow rate and/or N2O anneal temperature are increased. We have demonstrated a N2O anneal process at 900°C, which provides a robust SiO2/Si interface against plasma damage and hot carrier stress  相似文献   

3.
The effects of postdeposition anneal of chemical vapor deposited silicon nitride are studied. The Si3N4 films were in situ annealed in either H2(2%)/O2 at 950°C or N2O at 950°C in a rapid thermal oxidation system. It is found that an interfacial oxide was grown at the Si3N4/Si interface by both postdeposition anneal conditions. This was confirmed by thickness measurement and X-ray photoelectronic spectroscopy (XPS) analysis. The devices with H2 (2%)/O2 anneal exhibit a lower gate leakage current and improved reliability compared to that of N2O anneal. This improvement is attributed to a greater efficiency of generating atomic oxygen in the presence of a small amount of hydrogen, leading to the elimination of structural defects in the as-deposited Si3N 4 film by the atomic oxygen. Good drivability is also demonstrated on a 0.12 μm n-MOSFET device  相似文献   

4.
Effects of oxide growth temperature on time-dependent dielectric breakdown (TDDB) characteristics of thin (115 Å) N2O-grown oxides are investigated and compared with those for conventional O2-grown SiO2 films with identical thickness. Results show that TDDB characteristics of N2O oxides are strongly dependent on the growth temperature and, unlike conventional SiO2, TDDB properties are much degraded for N 2O oxides with an increase in growth temperature. Large undulations at the Si/SiO2 interface, caused by locally retarded oxide growth due to interfacial nitrogen, are suggested as a likely cause of degradation of TDDB characteristics in N2O oxides grown at higher temperatures  相似文献   

5.
Stress-induced leakage current (SILC) is studied in ultrathin (~50 Å) gate oxides grown in N2O or O2 ambient, using rapid thermal processing (N2O oxide or control oxide, respectively). MOS capacitors with N2O oxides exhibit much suppressed SILC compared to the control oxide for successive ramp-up, constant voltage DC, and AC (bipolar and unipolar) stresses. The mechanism for SILC is discussed, and the suppressed SILC in N2O oxide is attributed to suppressed interface state generation due to nitrogen incorporation at the Si/SUO2 interface during N2O oxidation  相似文献   

6.
The performance and reliability of deposited gate oxides for thin film transistors (TFT's) has been studied as a function of rapid thermal annealing (RTA) conditions. The effect of temperature ranging from 700 to 950°C and the annealing ambients including oxygen (O2), argon (Ar), and nitrous oxide (N2O) is investigated. Improvement in charge to breakdown (Qbd) is seen starting from 700°C, with marked increase at 900°C temperature and above. The N2O and Ar ambients result in higher Qbd compared to O2 ambient and we attribute this to reduced interfacial stress. Fourier Transform Infrared spectroscopy (FTIR) is used to qualitatively measure the stress. The bias temperature instability is decreased by RTA. The TFT characteristics are significantly improved with RTA gate oxide. The RTA-Ar anneal at 950°C results in the lowest trap density in TFT's as measured from charge pumping technique  相似文献   

7.
The physical and electrical properties of an Ir/SiO2/Si stack were evaluated for advanced gate electrode application. The thermal stability of the stack was studied on MOS capacitors annealed at temperatures between 500 and 1000 °C in N2 ambient for 30 s followed by forming gas anneal (FGA) at 420 °C for 20 min. The work function of iridium, found to be 4.9 eV, is stable up to 900 °C, making it a good candidate as PMOS electrode. In addition, no evidence was found for any chemical reaction at the interface between Ir and SiO2.  相似文献   

8.
The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO2) and an anneal in N2 at 400°C for 10 min successively. This improvement can be explained by the release of SiO2/Si interfacial strain  相似文献   

9.
High-field breakdown in thin oxides grown in N2O ambient   总被引:1,自引:0,他引:1  
A detailed study of time-dependent dielectric breakdown (TDDB) in N2O-grown thin (47-120 Å) silicon oxides is reported. A significant degradation in breakdown properties was observed with increasing oxide growth temperatures. A physical model based on undulations at the Si/SiO2 interface is proposed to account for the degradation. Accelerated breakdown for higher operating temperatures and higher oxide fields as well as thickness dependence of TDDB are studied under both polarities of injection. Breakdown under unipolar and bipolar stress in N2O oxides is compared with DC breakdown. An asymmetric improvement in time-to-breakdown under positive versus negative gate unipolar stress is observed and attributed to charge detrapping behavior in N2O oxides. A large reduction in time-to-breakdown is observed under bipolar stress when the thickness is scaled below 60 Å. A physical model is suggested to explain this behavior. Overall, N2O oxides show improved breakdown properties compared with pure SiO2  相似文献   

10.
Based on a network defect model for the diffusion of B in SiO2 we propose that B diffuses via a peroxy linkage defect whose concentration in the oxide changes under different processing conditions. We show that as the gate oxide is scaled below 80 Å in thickness, additional chemical processes act to increase B diffusivity and decrease its activation energy, both as a function of the distance from the Si/SiO2 interface. For a 15 Å oxide, the B diffusivity at 900°C would increase by a factor of 24 relative to diffusion in a 100 Å oxide  相似文献   

11.
Thin dielectrics grown on silicon wafers by rapid thermal processing in an N2O ambient at temperatures of 1100°C, 1150°C, and 1200°C are discussed. The resulting films, in conjunction with an O2 ambient control were characterized by thickness measurements and electrical performance. Dielectrics formed in N2O in this temperature range were all superior to that prepared in an O2 ambient in terms of interface state generation and flatband voltage shift after constant current stressing. Although all N2O prepared samples exhibited similar cross wafer electrical uniformity, higher growth temperatures favored thickness uniformity. The electrical behavior of the N2O wafers was not strongly dependent on growth temperature; however, a 60-s 1100°C post-oxynitridation N2 anneal was found to significantly reduce subsequent electrical performance. It is also demonstrated that under optimum process conditions, high-quality uniform dielectrics can be formed by RTP in N2O  相似文献   

12.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

13.
Gate oxides grown with partial and complete oxidation in N2 O were studied in terms of hot-carrier stressing. The DC lifetime for 10% degradation in gm had a 15×improvement over control oxides not grown in a N2O atmosphere. Further improvement in gm degradation was observed in oxides that received partial oxidation as compared with control oxides. This improvement is due to the incorporation of nitrogen that reduces strained Si-O bonds at the Si/SiO2 interface, leading to lower interface state generation (ISG). Improvements were also observed in Ig-Vg characteristics, indicating a reduction of trap sites both at the Si/SiO2 interface and in the bulk oxide. Improved gate-induced drain leakage (GIDL) characteristics as a function of hot-carrier stressing for partial N2O oxides were observed over control oxides. However, severe drain leakage that masked GIDL was observed on pure N 2O oxides and is a subject for further study  相似文献   

14.
Time-dependent dielectric breakdown (TDDB) characteristics of MOS capacitors with thin (120-Å) N2O gate oxide under dynamic unipolar and bipolar stress have been studied and compared to those with control thermal gate oxide of identical thickness. Results show that N2O oxide has significant improvement in t BD (2×under-Vg unipolar stress, 20×under+Vg unipolar stress, and 10×under bipolar stress). The improvement of tBD in N2O oxide is attributed to the suppressed electron trapping and enhanced hole detrapping due to the nitrogen incorporation at the SiO2/Si interface  相似文献   

15.
Growth of ultrathin (<100 Å) oxynitride on strained-Si using microwave N2O and NH3 plasma is reported. X-ray photoelectron spectroscopy (XPS) results indicate a nitrogen-rich layer at the strained-Si/SiO2 interface. The electrical properties of oxynitrides have been characterized using a metal-insulator-semiconductor (MIS) structure. A moderately low value of insulator charge density (6.1×1010 cm-2) has been obtained for NH3 plasma treated N2O oxide sample. Nitrided oxide shows a larger breakdown voltage and an improved charge trapping properties under Fowler-Nordheim (F-N) constant current stress  相似文献   

16.
The electrical properties of MOS capacitors with an indium tin oxide (ITO) gate are studied in terms of the number density of the fixed oxide charge and of the interface traps Nf and N it, respectively. Both depend on the deposition conditions of ITO and the subsequent annealing procedures. The fixed oxide charge and the interface-trap density are minimized by depositing at a substrate temperature of 240°C at low power conditions and in an oxygen-rich ambient. Under these conditions, as-deposited ITO films are electrically conductive. The most effective annealing procedure consists of a two-step anneal: a 45-s rapid thermal anneal at 950°C in N2, followed by a 30 min anneal in N2/20% H2 at 450°C. Typical values obtained for Nit and Nf are 4.2×1010 cm-2 and 2.8×1010 cm-2, respectively. These values are further reduced to 1.9×1010 cm-2 and ≲5×109 cm-2, respectively, by depositing approximately 25 nm polycrystalline silicon on the gate insulation prior to the deposition of ITO  相似文献   

17.
A reliable method of forming very thin SiO2 films (<10 nm) has been developed by rapid thermal processing (RTP) in which in situ multiple RTP sequences have been employed. Sub-10-nm-thick SiO2 films formed by single-step RTP oxidation (RTO) are superior to conventional furnace-grown SiO2 on the SiO2 /Si interface characteristics, dielectric strength, and time-dependent dielectric-breakdown (TDDB) characteristics. It has been confirmed that the reliability of SiO2 film can be improved by pre-oxidation RTP cleaning (RTC) operated at 700-900°C for 20-60 s in a 1%HCl/Ar or H2 ambient. The authors discuss the dielectric reliability of the SiO2 films formed by single-step RTO in comparison with conventional furnace-grown SiO2 films. The effects and optimum conditions of RTC prior to RTO on the TDDB characteristics are demonstrated. The dielectric properties of nitrided SiO2 films formed via the N2O-oxynitridation process are described  相似文献   

18.
Wet pyrogenic oxide of different thicknesses was annealed in N2O ambient and the N concentration in the films was studied by using SIMS (secondary ion mass spectroscopy). It was found that for a certain annealing time and temperature, the N concentration (at %) increases with decreasing wet oxide thickness and the location of the peak of N is observed near the interface of nitrided oxide and Si substrate. On the contrary, after nitridation the concentration of H is higher in the thicker wet oxide of thickness 100 Å and also does not change much from the surface to the interface. For the thinner wet oxide of thickness 40 Å, the concentration of H is less and decreases toward the interface. Gate dielectrics were characterized using high-frequency and quasi-static measurements. After a constant current stress, a large distortion was observed for the N2O annealed wet oxide of 98 Å whereas for the N2O annealed wet oxide of 51 Å the distortion was small. With increasing stressing time, hole trap is followed by electron trapping for the wet oxide of 98 Å whereas for the N2O annealed wet oxide of 51 Å, hole trapping increases a little at the beginning and then saturates. From the TDDB characteristics, a longer tBD was observed for N2O annealed wet oxide of 51 Å compared to 98 Å. From the experimental results, it can be suggested that the improved reliability of thin gate oxide is due to the large amount of N concentration near the interface only. Hence for the device fabrication process, if the wet oxide is nitrided in N2O ambient, the reliability of gate oxide will be improved in the ultrathin region  相似文献   

19.
A technique is presented for incorporating fluorine (F) into the gate-oxide film, and the subsequent improvement of channel-hot-electron hardness of the resulting MOSFET is reported. This technique uses low-energy F implantation onto the surface of the polysilicon gate-electrode, followed by annealing at 950° C to diffuse F into the gate SiO2 toward the SiO2/Si interface. The improved hot-electron hardness is explained by a model involving a strain relaxation near the SiO2/Si interface by fluorine incorporation that results from Si-F bond formation  相似文献   

20.
Effects of N2O pressure during oxynitridation on the characteristics of ultrathin gate dielectrics have been investigated. Reoxidation in N2O ambient showed three distinguished oxidation regions as a function of tube pressure; that is, enhancement at 10-40 torr, retardation at 40-100 torr, and enhancement at 100-600 torr. The N2O-nitridation at 40 torr incorporated much less nitrogen in oxide bulk than that at near-atmospheric pressure. The 40 torr N2O-nitridation case exhibited about 70% of nitrogen incorporation at the Si/SiO2 interface compared to that of the 600 torr N2O-nitridation case. The low-pressure N2 O-nitridation at 40 torr results in improvement of TDDB of gate dielectrics and the transconductance of nMOSFETs compared to the nitridation at near-atmospheric pressure. These data suggest that low pressure oxynitridation should be more recommendable for device application  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号