首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 578 毫秒
1.
TN4 02020448采用单元库嵌人方式提高VHDL模拟器速度的研究/陈东瑛,刘明业(北京理工大学ASIC研究所)11计算机辅助设计与图形学学报一2001,13(7)一586一589基于实际的高级综合系统,研究了提高大规模VHDL设计的模拟蝴正速度的方法.通过对多种模拟算法的分析比较和对系统原有模拟机制与算法的研究,提出了将该系统的单元库嵌入模拟核心的方法.该方法的实现显著地提高VHDL模拟器对大规模设计的模拟速度达2一4倍,提高了系统的实用性.图1表2参《金)并设计了微控制器的体系结构和流水线,同时采用了异步逻辑的电路实现方法.该微控制器与P IC…  相似文献   

2.
TN4 99060411多级流水线结构高层次VHDL语言行为模型的研究/石峰,刘明业(北京理工大学A SIC研究所)11计算机辅助设计与图形学学报.一1999,11(4)一320一323建立数字系统的高层次VHDL语言行为模型,是应用VHDL语言自动综合系统以自顶向下的方法进行大规模集成电路设计的基础.以RISC 51〕ARC MB86901为例,讨论建立多级复杂流水线结构的VHDL语言高层次行为模型的方法,同日扫寸相关间题进行分析.图4参3(金)电子产品的可靠性,应十分谨慎使用双列直插式集成电路插座.参9(许)TN4 99060412多T一P ipeline祸合结构/崔光佐,程旭(北京大学…  相似文献   

3.
丁N99 95021488超声频谱固井质且检测系统下井仪模拟器的设计/曹汉房,刘郁舒(华中理工大学电子与信息工程系)11华中理工大学学报一1994,22(1l).一60一64 给出了直接存储模式曲线的ZPSK信号的新方法,根据该方法设计了下井仪模拟器,并采用软件调相予以实现.该方法消除了传统相位选择法所存在的倒兀现象,提高了系统工作的稳定性和可靠性,同时简化了电路,降低了设备成本.图9参3(金)一组控制规则.图2参3(金)TN99 95021493如何在电视机上实时显示股市行情/黄河振,冯建聪(深圳市邮电局)11电子技术应用一1994,(10)一20~21 一种价廉、可靠、实时性…  相似文献   

4.
”I,N701 02020569控制流综合中省略状态化简的可行性/谢巍,刘明业(北京理工大学八SIC研究所)口计算机辅助设计与图形学学报一2001,13(s)一679一683为解决控制流综合用时过多而造成的电路设计周期较长的间题,从分析控制流综合的基本步骤和现存问题入手,着眼于控制流综合所接收的有限状态机的特殊性,讨论了省略状态化简的可行住;并且给出了在EDA综合工具中省略状态化简的具体实施方案.图2表2参7(金)变型蔡氏电路中的混沌控制数值模拟研究/罗晓曙,汪秉宏,全宏俊,方锦清(中国科技大学)11电路与系统学报一2001,6(2)一90-93研究了用正弦信号…  相似文献   

5.
有限状态机的设计及使用是数字电路设计中的重要内容,本文通过对有限状态机的设计过程及使用VHDL语言描述做了介绍,并通过ADC0809进行AD采样的有限状态机的设计实例,总结了有限状态机VHDL设计的一般过程。  相似文献   

6.
基于VHDL设计有限状态机FSM的方法   总被引:3,自引:0,他引:3  
介绍了有限状态机的特点和设计方法,以设计UART为例,应用EDA技术,基于VHDL语言.以FPGA/CPLD器件为核心。  相似文献   

7.
TN4 99010489VITAL一一设计AsIC模型的VHDL塞准/边计年(清华大学计算机科学与技术系)升计算机辅助设计与图形学学报.一1998,10(2)一161一166VITAL是IEEE新近制定的一个用VHDL建立ASIC模型库的基准,它为AsIC库的建立、电路设计的描述提供了便利的、格式相对固定的描述方法,并为提高模拟性能提供了依据和基础.文中介绍vITAL的基本内容,并介绍用VITAL描述电路模型的方法.图3参4(金)3表1(金)’1’到4 99010494小扇区可擦写存储器在IC卡收费机中的应用/张齐,朱宁西哗南理工大学计算机系)即微型机与应用.一1998,17(7)一19一21分…  相似文献   

8.
TN701 02050458基于冗余抑制技术的低功耗组合电路设计/矣训威,卢仰坚(宁波大学)11电子学报一2 002,30(5)一672一675阐明了基于冗余抑制技术的低功耗电路的设计原理,分析了在组合电路中冗余行为的各种抑制结构及工作机理.作为设计实例,文中提出了基于冗余抑制技术的低功耗比较器设计.PSP工CE模拟与能耗分扫袱正明该设计技术能有效地达到节省功耗的目的.图7表2参7(金)相比,该算法简单、高效、便于分析,易于推广到m一叉树的轮廓线索树的构造勇法上.图4参6(金)TN711.6 02050459图论在网络的可靠性分析中的应用一一对基于1一cri七ica卜path5…  相似文献   

9.
本文以三段式编程框架介绍了VHDL中有限状态机的教学方法,即系统图、状态转换图和编程架构三部分.系统图用以确定系统模块和输入输出的位置,并合理分类强制和常规状态控制信号;状态图用以确定实现系统功能而设计的状态转换过程;基于系统图和状态图,编程架构使用三进程方式.教学过程中以位元检测器的设计为例,通过练习提高了学生对有限状态机的理解和设计能力.  相似文献   

10.
1下70 94011345红外遥感油气资源勘探技术及其有效性评估/朱振海11环境遥感一1 993,8(2)一81~93 基于工业油气藏烃类微渗漏理论,利用烃类特有的2.27一2.46户m波谱双峰吸收带,以土壤烃组分异常为标志实施探测,并从理论上排除了各种地物目标的干扰,建立了一套航空遥感计算机图像处理及油气遥感信息异常提取方法.图8表4参4(金)较理想的准确信号量化率与信号数据率.图3表l参7(文)了夕73 9401 1346硅油对遥感仪器镜面污染的研究/裴云天(中国科学院上海技术物理所)l/红外与毫米波学报一1993.12(3)一219~222 对被硅油污染的镜面进行了光谱测试,测得…  相似文献   

11.
据报道,在最近于美国加州SantaClara举行的国际硬件描述会议上,VHDL国际协会(位于美国科罗拉多州的Boulder)宣布VHDL涵盖模拟和混合信号的(AMS,analogy and mixed-signal)增补版已经获得批准。原先的VHDL是一种用于对数字装置和系统进行建模和仿真的设计语言。新版VHDL-AMS标准已被IEEE标准委员会批准并被命名为:IEEE  相似文献   

12.
TN7022005050630数字系统设计中VHDL语言设计问题探讨/谢正光(怀化学院物电系电子信息教研室)//微型机与应用.―2004,23(7).―17~18.从描述方法、设计规则、时序等方面分析了用硬件描述语言VHDL在EDA设计中容易出现问题的原因,并提出了相应的解决方案。图5表0参2TN7022005050631一种新颖IP核复用SOC的DFT结构——BS-TW/高辉,程东方,张金艺,李娇,赵存刚(上海大学微电子研究与开发中心)//电测与仪表.―2005,42(1).―55~57,25.提出了一种基于IP复用SOC的新颖DFT结构——BS-TW(BoundaryScanTestWrapper),该结构把边界扫描单…  相似文献   

13.
TN7022007061054基于VHDL语言的有限域正则基乘法器设计/李月乔(华北电力大学电气与电子工程学院)//电讯技术.―2006,46(6).―63~66.有限域的运算已经广泛应用于Reed-Solomon码、存储领域和各种加密算法中。乘法运算是其中最复杂的一种运算,有限域中的元素可以用各种基表示。文中在给出有限域元素自然基下的表示方法的基础上,推导出了域元素正则基下的表示方法,并给出了正则基下域元素的乘法运算,编写了乘法器的VHDL模型。用XILINX公司的ISE5.2软件对电路模型进行了仿真,结果表明乘法器的运算结果完全正确。图1表2参5TN702200706…  相似文献   

14.
Cadence公司针对复杂IC设计,特别是纳米尺寸设计推出了单内核Incisive验证平台,它是嵌入式软件、控制、数据通道和模拟/混合信号/RF设计的统一平台。Incisive平台内建支持Verilog、VHDL、SystemC、SystemC验证库、规范语言PSL/Sugar、算法开发和模拟/混合信号(AMS),实现了独一无二的设计功能集成。Incisive平台包含三部分:Incisive,一种基于仿真的数字验证解决方案;Incisive-XLD,具有“按需加速”能力,可以帮助设计工程师实现10套Incisive的运行时间能力,或者实现超过百万门的加速能力,比基于仿真的验证性能高出100倍…  相似文献   

15.
李德明  张法碧 《电视技术》2011,35(21):48-50
论述了基于FPGA的双音多频信号(DTMF)的产生和检测过程。用VHDL编程实现了信号产生设计。然后,分别描述了两种检测方法,第一种是用VHDL编程和滤波器方法,介绍了使用Matlab来设计滤波器的过程;第二种是用较新的Matlab/DSP Builder方法,其中调用了FFT IP核,结合状态机来实现频率检测,并给出了实际的电路图。  相似文献   

16.
TM93 .02051745基于VHDL的虚拟相位差测量方法研究及其实现/宋跃,周明辉,谭爱群(湘潭师范学院)11半导体技术.一2 002,27(1).一29‘31,48介绍了以Alter CPLD器件为虚拟仪器核,在Windows95/98下借助D elPhis,0实现人机交互界面,对50mV一10V、IHz-10 MHz的正弦波、方波、矩形波等周期信号完成0.1“分辨率相位差测量的VHDL设计方法与实现方案.图4参6(午)TM93 02051746动态测量系统的逆系统设计/蓝鲤,陆寿茂,闰俊武(航空航天部一院)11遥测遥控.一2002,23(2)一35一40为了从测量信号中恢复出原始的物理信息,需要设计动态测量系统的逆系…  相似文献   

17.
Y2000-62150-351 0012739行为/现场可编程序门阵列=Session 12C:behavioral/FPGA[会,英]//Proceedings of the Asia and South Pa-cific Design Automation Conference 1999(ASP-DAC'99).—351~366(PC)本部分收录4篇论文。题名为:支援基于仿真的设计 HDL 调试用的多级现场可编程序门阵列(FPGA)合成法,多目标数据流图优化用的基于遗传算法的方法,采用典型表示的置换用的快速布尔匹配和符号模型检验用的同步 VHDL 设计的有限状态机(FSM)模拟。  相似文献   

18.
TP39 .95061439客户机工具PowerB。“der的开发及其应用/张卫宣(电子部10所)11电讯技术一1 995,35(4)一76~82 图6参2浒)TP39·95061440基于交叉开关网络的多处理机系统研究/傅海帆,储青,陈良生哗中理工大学自控系)11华中理工大学学报一1995,23(9)一43一46 提出了基于交叉开关网络连接的多处理机系统的一种设计方法.该方法将交叉开关网络的互连结构看成是共总线结构的扩展形式,用公共辅助时钟作为裁决时基发生器,辅以简单的时序逻辑,实现了以机器周期为裁决时间单位的高速裁决,有效地缓解了多机 一127一运行时的争用矛盾.图4表1参4(金)TP…  相似文献   

19.
为了能够更简洁严谨地描述MTM总线的主模块有限状态机的状态转换,同时减少FPGA芯片功耗,提高系统稳定性.文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采用“单进程”式对该有限状态机进行了设计。并在Quartus II开发软件中实现了对语言代码的编译及程序的时序仿真和功能仿真;通过对仿真波形图的分析验证了该状态机设计的正确性和有效性。  相似文献   

20.
TN4 01020545一种输人串行数据的L CD模块的应用/王鸣(安徽机电学院)11集成电路应用一2000,(4)一6一10文中介绍一种New Japai一Radio Co.Ltd生产的ICN JU6433作为驱动器(Driver)的LCD模块的实际应用.此方法对于这一类型的串行数据输入的L CD模块的应用具有普遍意义.图5表6参2(许)展概况以及今后的展望.参5(许)TN4,TN911.7 01020546应用遗传算法进潇刹氏功耗状态编码/朱宁,周润德、羊性滋(清华大学微电子所)万电子学报一2 000,28(5).一124-1 26文中研究了用遗传算法进行有限状态机(F SM)的低功耗状态编码问题.基于F SM的概率模…  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号