首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 24 毫秒
1.
Washover sand bodies commonly develop along microtidal coastlines in beach/barrier island or spit settings. Wave runup, usually in conjunction with an abnormally high water level, may overtop the most landward berm of the beach and the foredune crest, if one exists, to produce overwash and subsequent runoff across the more landward subaerial surface. Two main elements of the resulting deposit are the washover fan and runoff channel. Newly formed, small-scale washover deposits were examined along the Outer Banks, North Carolina, near Pt Mugu, California, and at Presque Isle (Lake Erie), Pennsylvania. The fans were formed in response to unidirectional landward transport, and the runoff channels in response to unidirectional flow usually in a landward direction, but sometimes in shore-parallel then seaward direction. Where overwash carried across the fan surface and entered a pond or lagoon, a small-scale delta (microdelta) developed. In this case, the washover fan consisted of two subfacies, the wetted, but ‘subaerial’ part of the fan and the subaqueous washover delta. Flow associated with the development of the fan and runoff channel produced distinctive sets of bedforms and internal stratification. High velocity discontinuous surges moving across the fan surface resulted in the development of a plane bed and subhorizontal to low-angle (landward dipping) planar stratification which comprised the major part of the fan. Similarly, rhomboid forms were produced by high velocity sheet flow across the fan surface. Where flow carried into a standing body of water, delta-type foreset strata developed. For this case, the lateral structural sequence was subhorizontal, planar stratification merging landward into landward dipping, delta (tabular) foreset strata. In the runoff setting, where flow became channelized and continuous, both upper-flow and lower-flow regime currents were typical. Upper-flow regime bedforms included antidunes, standing waves, and plane beds. The most commonly observed lower-flow regime bedforms included microdelta-like bars, low-amplitude bars, linguoid ripples, and sinuous-crested current ripple trains. The sets of sedimentary structures comprising modern washover sand bodies provide criteria for the identification of similar deposits in ancient sediments and for more specific interpretation of the environment.  相似文献   

2.
A detailed analysis of historic aerial photographs provided the data for determining the magnitude and importance of oceanic overwash on Masonboro Island, southeastern North Carolina. Overwash, which is both temporally and spatially distributed, produces a suite of physiographic features on the subaerial portion of the island. Four physiographic types are recognized including: A) small coalescing loosely vegetated dunes, B) intact, well-vegetated dunes and terraces, C) individual, isolated washover fans, and D) washover terraces. Vegetation patterns, including shrub thickets and black needle rush marshes are related to old fan sites. Sites dominated by saltmeadow cordgrass and goldenrod are associated with recent overwashes. A process-response model, which synthesizes the physiographic types and vegetation patterns, provides input for a management program for the island. Five sections on Masonboro Island are delineated on the basis of washover history and potential for future washovers. The response of Masonboro Island to overwash is similar to that observed on Core Banks, North Carolina; however there are several differences, probably because of the rapidity with which dune ridges redevelop after washovers.  相似文献   

3.
Regional-scale washover deposits along the Florida Gulf and Atlantic coasts induced by multiple hurricanes in 2004 and 2005 were studied through coring, trenching, ground-penetrating radar imaging, aerial photography, and prestorm and poststorm beach-profile surveys. Erosional and depositional characteristics in different barrier-island sub-environments, including dune field, interior wetland and back-barrier bay were examined. Over the eroded dune fields, the washover deposits are characterized by an extensive horizontal basal erosional surface truncating the old dune deposits and horizontal to slightly landward-dipping stratification. Over the marshes in the barrier-island interior, the washover deposits are characterized by steep tabular bedding, with no erosion at the bottom. Overwash into the back-barrier bay produced the thickest deposits characterized by steep, prograding sigmoidal bedding. No significant erosional feature was observed at the bottom. Washover deposits within the dense interior mangrove swamp demonstrate both normal and reversed graded bedding. The washover deposits caused by hurricanes Frances (2004) and Jeanne (2004) along the southern Florida Atlantic coast barrier islands are substantially different from those along the northern Florida barrier islands caused by Ivan (2004) and Dennis (2005) in terms of regional extension, erosional features and sedimentary structures. These differences are controlled by different overall barrier-island morphology, vegetation type and density, and sediment properties. The homogeneity of sediment along the northern Florida coast makes distinguishing between washover deposits from Ivan and Dennis difficult. In contrast, along the Atlantic coast barrier islands, the two overwash events, as demonstrated by two phases of graded bedding of the bimodal sediments, are easily distinguishable.  相似文献   

4.
Barrier islands are found around the world and are important environmentally and economically. With accelerated sea level rise and relentless storms, their evolution is complex but important to understand, especially from a coastal planning and managing perspective. In this study, shoreline change estimates from aerial photography (1949, 1974, 2006), sedimentological and stratigraphic investigation, and analysis of geomorphic character were used to evaluate the hurricane response and decadal evolution of Ocracoke Island, NC. Between 1949 and 2006, the majority (>?65% of transects) of the entire island eroded at an average rate of ??0.54 m/year. Cross-island width decreased by as much as 40% (180 m) over the period. Hurricane Isabel (2003) represented up to 23% of the long-term net change in some regions of the island. The rate of narrowing of Ocracoke Island appears to have increased in the last half century and is due to a combination of natural and anthropogenic factors. Isabel overwashed a total of 9% of the island based on aerial photographic analysis with an average deposit thickness of 0.24 m based on trench investigation. Assessment with the Storm Impact Scale showed a direct relationship between overwash and the pre-existing dune conditions, which had been affected by long-term erosion. Sedimentological signatures interpreted from cores show up to four distinct stacked overwash deposits, potentially dating back as far as 1944. This multi-pronged analysis shows the complexity of barrier island evolution and highlights the necessity to examine and model a system response in four dimensions (i.e., spatially and with time).  相似文献   

5.
A fairly undeveloped barrier island along the mid-Atlantic coast, Onslow Beach, was exposed to two differing, yet sequential meteorological events in the fall of 2008. The response of the barrier island differed significantly enough to warrant investigation into the causes of aberrant overwash locations. Tropical Storm Hanna generated high significant wave heights for a short period of time and caused overwash events along the southern portion of Onslow Beach. The Nor’easter and subsequent wind shift after TS Hanna generated significant wave heights that were lower than during TS Hanna, yet more locations of overwash were recorded along the beach. Data from NOAA wave buoys and a nearshore deployed AWAC were analyzed to understand the underlying physics behind the recorded differences in barrier island response. These data were also used to validate a coupled hydrodynamic (ADCIRC) and waves (SWAN) model to investigate the alongshore variability. Low frequency variability, on the order of days, and tidal timing of shoreward high significant wave heights contributed to the recorded variability.  相似文献   

6.
Storm response along the transgressive Chandeleur barrier-island arc southeast of the Mississippi delta plain is variable because of local differences in sediment supply, shoreline orientation and barrier morphology. A study of the morphological impact of Hurricane Frederic (1979) affirmed that tropical storms are the primary agents causing erosion and migration of this barrier arc.Frederic's greatest impact was in the duneless southern Chandeleurs, where sheet-flow overwash caused flattening of the barrier profile, destruction of a strip of marsh 50–100 m wide, and shoreline retreat of approximately 30 m. In contrast, overwash in the northern Chandeleurs was confined between dunes in channels established by previous storms. This channelized overwash breached the northern Chandeleur barriers in nineteen places. As Frederic passed, return flow through these channels transported overwashed sediment back to the nearshore zone. These ebb deposits were a source for longshore drift sediments, which quickly sealed storm channels, reestablishing a coherent northern Chandeleur barrier arc.These storm response patterns may help explain long-term changes in barrier morphology. During an 84-yr period (1885–1969) the southern Chandeleurs decreased 41 % in area, with an average retreat rate of 9.1 m yr?1, compared to a 15% increase in area and an average shoreline retreat rate of 7.2 m yr?1 for the northern Chandeleurs.  相似文献   

7.
ABSTRACT
The Robbedale and Jydegård Formations (Berriasian-Valanginian) of the Danish island of Bornholm represent a 100 m thick vertical sequence from shoreface, foreshore and beach sands of a high-energy coast through backbarrier flat, bay margin pond and distal washover fan sand and clay, brackish bay clay, to fluvial sands. The longevity of the backbarrier-bay system (c. 10 Myr), thickness (100 m) of the bay deposits and apparently stacked nature of the facies belts suggest a relatively stationary position of the individual subenvironments, with only minor progradation. This reflects strong tectonic control of the depositional system during an important phase of synsedimentary block faulting and wrenching along the Tornquist Zone. The importance of washover fan sands in the backbarrier deposits, and the lack of tidal indications in the whole sequence, suggest a microtidal regime. A system of migrating mud banks formed in shallow water on the landward side of the barrier. The bay waters varied from almost fresh to brackish, and anoxic conditions commonly occurred at the bottom. Adverse living conditions for most organisms in the bay caused seasonal, possibly toxic, dinoflagellate blooms resulting in mass mortality of infaunal bivalves. Bay-margin ponds underwent periodic desiccation, leading to mass mortality of freshwater gastropods. As a general scenario it is envisaged that longshore currents redistributed bedload from a major delta and formed an extensive NW-SE barrier-spit which partly enclosed a major bay to the NE. The barrier was breached during heavy storms and the sand transported along the resulting washover channels was deposited on the backbarrier flat made up of the subaerial parts of coalescent washover fans. Enormous amounts of suspension load from the delta travelled further along the barrier to be deposited in the lee-side bay.  相似文献   

8.
A three-dimensional model for a tidal inlet-barrier island depositional system was constructed through examination of 37 vibracores and 10 auger drill holes on Capers and Dewees Islands, South Carolina. Two cycles of southerly inlet migration and subsequent abandonment resulted in beach ridge truncation on the northern ends of both barriers. Historical evidence indicates that these tidal inlets migrated 1.5 km to the south owing to a dominant north-south longshore transport direction. The hydraulic inefficiency of these over-extended inlet channels caused shorter, more northerly-oriented channels to breach through the ebbtidal deltas. After inlet reorientation, large wave-formed swash bars migrated landward closing former inlet channels. Weakened tidal currents through the abandoned channels permitted clay plugs to form thick impermeable seals over active channel-fill sand and shell. Price and Capers Inlets formed during the onset of the Holocene transgression following submergence of the ancestral Plio-Pleistocene Santee River drainage system. Coarse, poorly sorted inlet-deposited sand disconformably overlies Pleistocene estuarine clay and is capped by a dense clay plug. Shoreline reorientation and landward retreat of a primary barrier island chain occurred between the first and second cycles of inlet-channel migration and abandonment. Beach ridges prograded seaward over the first inlet sequence. A second cycle of inlet migration truncated the northernmost portion of these beach ridges and scoured into the clay plug of the earlier inlet deposit. Abandonment of this channel resulted in deposition of a second abandoned inlet-channel clay plug. Abandoned tidal inlet channels exhibit U-shaped strike and crescentic- to wedge-shaped dip geometries. Basal, poorly sorted inlet sands are sealed beneath impermeable, abandoned-channel silt and clay, washover deposits, and salt marsh. Multiple episodes of inlet migration and abandonment during a rising sea-level deposited stacked inlet-fill sequences within the barrier islands. The resultant stratigraphy consists of interlayered, fining-upward, active inlet-fill sand overlain by thicker abandoned inlet-fill clay plugs. These clay plugs form impermeable zones between adjacent barrier island sand bodies. Shoreline transgression would remove the uppermost barrier island deposits, sealing the inlet-fill sequences between Pleistocene estuarine clay and shoreface to shelf silt and clay.  相似文献   

9.
《Sedimentology》2018,65(4):1170-1212
Barrier‐island system evolution is controlled by internal and external forcing mechanisms, and temporal changes in these mechanisms may be recorded in the sedimentary architecture. However, the precise role of individual forcing mechanisms is rarely well understood due to limited chronological control. This study investigates the relative role of forcing conditions, such as antecedent topography, sea‐level rise, sediment supply, storms and climate changes, on the evolution of a Holocene wave‐dominated barrier‐island system. This article presents temporal reconstruction of the depositional history of the barrier‐island system of Rømø in the Wadden Sea in unprecedented detail, based on ground‐penetrating radar profiles, sediment cores, high‐resolution dating and palynological investigations, and shows that ca 8000 years ago the barrier island formed on a Pleistocene topographic high. During the initial phase of barrier evolution, the long‐term sea‐level rise was relatively rapid (ca 9 mm year−1) and the barrier was narrow and frequently overwashed. Sediment supply kept pace with sea‐level rise, and the barrier‐island system mainly aggraded through the deposition of a ca 7 m thick stack of overwash fans. Aggradation continued for ca 1700 years until sea‐level rise had decreased to <2 mm year−1. In the last ca 6000 years, the barrier prograded 4 to 5 km through deposition of a 10 to 15 m thick beach and shoreface unit, despite a long‐term sea‐level rise of 1 to 2 mm year−1. The long‐term progradation was, however, interrupted by a transgression between 4000 years and 1700 years ago. These results demonstrate that the large‐scale morphology of the Danish Wadden Sea shoreline influences the longshore sediment transport flux and the millennial‐scale dispersal of sediment along the shoreline. On decadal to centennial timescales, major storms induced intense beach and shoreface erosion followed by rapid recovery and progradation which resulted in a highly punctuated beach and shoreface record. Major storms contributed towards a positive sediment budget, and the sustained surplus of sediment was, and still is, instrumental in maintaining the aggradational–progradational state of the barrier island.  相似文献   

10.
泥盆系是富含油气的阿尔及利亚韦德迈阿次盆地西北部438B区块重要的含油层位之一,可划分出2个三级层序,仅下部的SQ1层序保存较完整;泥盆系属于快速海侵缓慢海退的沉积旋回,由海侵、早期高位和晚期高位3个体系域叠加组成。在SQ1层序中相当体系域级别的地层单元在区域上具有良好的等时性,仅在研究区东、南部外侧构造隆起区明显变薄或局部缺失海侵体系域地层。各体系域中,早期高位体系域的障壁砂坝在纵向上具有更好的可比性,反映该体系域障壁砂坝砂体的发育层位和沉积厚度更稳定,砂体连通性和储集性更好,但上部的SQ2为仅保存部分海侵体系域沉积记录的残余层序。选择SQ1层序各体系域为等时地层单元编制层序-岩相古地理图,编图结果表明:438B区块泥盆纪具备向南东方向弧突的,由浅海陆棚、障壁岛、潟湖、潮坪组成的海湾地貌和分带性沉积格局;在各体系域继承性发展演化的分带性沉积格局中,以早期高位系域中的障壁砂坝和冲溢扇为最有利储层发育的层位、相带和部位。  相似文献   

11.
A. G. PLINT 《Sedimentology》1984,31(2):213-225
The Lower Headon and Upper Barton Beds of Hampshire, southern England, consist of fine sands, silts and clays, often fossiliferous, with lignitic and carbonate horizons. They accumulated in a coastal environment following deposition of the marine Lower and Middle Barton Beds. A variety of distinctive facies can be defined on faunal and lithological grounds, and these permit palaeoenvironments to be defined with some precision. Littoral marine, barrier island shoreface, storm washover and barrier flat, brackish lagoon, distributary channel and floodplain lake environments are recognized. The evidence suggests that a barrier island or spit developed offshore, enclosing a sheltered inshore region of lagoons in which deposition of relatively fine-grained sediments took place. Lagoonal sediments show a general trend towards reduction of salinity with time. With the eventual exclusion of marine influence, the area underwent a gradual transition to river-dominated sedimentation in shallow flood-plain lakes. While the sequence as a whole shows a progressive reduction in salinity, several brief periods of increased salinity are recognized and these reflect the very low topography of the region and its susceptibility to marine incursion.  相似文献   

12.
The focus of this study is on multi-dimensional vulnerability of regions to indirect disaster losses. An integrated indicator framework has been developed which captures the multi-layered vulnerability drivers in industrial production systems and also accounts for the social fragilities and coping capacities in communities. By combining industrial vulnerability and social vulnerability spatially, and proposing a methodology to account between their interactions, the total vulnerability to indirect risks of regions is revealed. The outcome of the framework is a ranking of industrial sectors and geographic areas according to their vulnerability against indirect losses. It answers the question which of the two affected regions is in a better position to cope with indirect consequences in a disaster. Indicators provide a flexible framework for the comparison and integration of different data types and allow the combination of social as well as economic aspects. Decision-Making Trial and Evaluation Laboratory (DEMATEL) methodology was applied to analyze direct and indirect dependencies within the selected social and industrial vulnerability indicators. The hierarchical indicator system has been implemented in a software system based on multi-criteria decision theory (MCDA) with an interactive interface to take into account a broader range of expert judgement. The methodology was applied in a case study in the state of Baden-Wuerttemberg in Germany for 16 different industrial sectors. The approach helps to identify particular vulnerable processes and points out where mitigation measures could be implemented most effectively.  相似文献   

13.
Sediment mineralogy, quartz-grain surface-textures, grain-size analysis, bore-hole logging and ground penetrating radar are combined to develop a three dimensional stratigraphic model of a back-barrier sand island in southeast Queensland, Australia. The island consists of an unconsolidated sedimentary pile above an erosional bounding surface at the top of the underlying bedrock. The stratigraphy is complex, recording the shift in depositional environments from fluvio-deltaic to strandplain, via estuarine stages of evolution. The back-barrier island deposits are correlated with the stratigraphy of the adjacent coastal plain to the west and the barrier island to the east. Extrapolation of optically stimulated luminescence dates obtained from the barrier island combined with direct dating of the back-barrier island sediments is used to constrain the depositional age and chronology of the back-barrier island stratigraphy. The modern depositional environment evolved from a chenier plain into a barrier island system by the flooding of an interdune swale and development of a shore-parallel back-barrier tidal lagoon. The lithological heterogeneity of the back-barrier island succession was controlled by the presence of a bedrock incised palaeovalley and changes in relative sea-level.Sedimentary facies associations constrain the spatial distribution of hydraulic properties controlled by lithological heterogeneity. Post-depositional alteration horizons are integrated with the facies model to account for the effects of weathering and diagenesis on hydraulic behaviour. The derived hydrostratigraphy describes a vertically stacked, dual aquifer, island groundwater system consisting of a semi-confined palaeovalley aquifer overlain by an unconfined strand-plain aquifer.Hydrostratigraphic analysis based on sedimentary facies associations, integrated with post-depositional alteration characteristics reveals great complexity of groundwater systems within small island settings. The facies modelling approach employed in this study more accurately estimates the distribution of lithological heterogeneity and the associated variations in hydraulic properties in the sedimentary pile.  相似文献   

14.
《Sedimentary Geology》2006,183(1-2):145-156
Prehistoric depositional signatures for large-scale washover involving marine inundation events such as storms and tsunami have been the subject of considerable research over the last 15 years. Much of this research has focused on the identification of sandsheets in back-barrier environments as depositional records for extreme washover events. All these deposits must have a sediment source and, by their nature, the most likely source of sediment for washover into back-barrier environments is the barrier itself. This study identifies an erosional signature for large-scale washover from a small coastal barrier on the southeast Australian coast. A distinct lens of marine sand, up to 90 cm thick, confined vertically by peat, is found in the upper fill of a closed freshwater back-barrier lagoon sequence. This sand lens is attributed to a large-scale washover event during the last 800 years, and was possibly deposited by a tsunami. The hypothesis for this study was that any event that breached the dune system must have caused considerable geomorphic change to the dunes and hence may have left an erosional signature. Ground penetrating radar transects of the system show an erosional contact between a series of truncated pre-event dunes and several small overlying post-event dunes. This study outlines a relatively simple non-invasive method for the identification of an erosional signature for prehistoric large-scale washovers caused by storm surge, exceptionally large waves, or tsunami.  相似文献   

15.
Barrier islands developed on the southeastern flanks of a volcanic terrain during the Lower Silurian transgression of southwest Wales. The barriers are preserved in transgressive sequences overlying basalts and comprising from base upwards: lagoon→barrier island→offshore marine sediments. The thickness of the barrier island sediments varies from 5 m to 28 m. Comparison with modern barriers suggests that the thin sequences result from narrow (<2 km), steadily transgressing barrier islands, whereas the thicker sequences represent broad (2–4 km), slowly transgressing forms. In one case the barrier became narrower as the rate of migration accelerated in response to decreased fluviatile sediment supply caused by rising sea-level. Despite the high preservation potential of inlet fill deposits, the latter are generally absent in these Silurian barriers because inlet migration was slow compared with the rate of barrier retreat. Possibly much shell material was dissolved during early diagenesis.  相似文献   

16.
Rates of shoreline change and overwash penetration distances were calculated for barrier islands along the Louisiana, Mississippi, and Alabama coasts with the orthogonal grid mapping system (OGMS). Average rates of shoreline change are exceptionally high in Louisiana, being of the order ?4.7 to ?7.4 m yr?1. Mississippi and Alabama recession rates are lower and range from ?2.0 to ?3.1 m yr?1 over the period of record. Erosion rates along the shorelines of these islands have remained relatively constant over the period of study with five exceptions in coastal Louisiana and the Chandeleur-Breton Islands Arc, and two exceptions along the Mississippi-Alabama barrier islands where they have accelerated. Mean overwash penetration is greatest along Dauphin Island, Alabama, and Cat Island, Mississippi: 207.6 and 197.9 m, respectively. The Chandeleur-Brenton Islands Arc range from 88.1 m at the central barrier to 180.4 along the flanks. The Mississippi islands range from 105.2 m on Ship Island to 200.5 m along central Horn Island. Mean overwash penetration along the Louisiana barriers is highly variable: 46.3 to 211.4 m.  相似文献   

17.
An integrated earthquake vulnerability assessment framework for urban areas   总被引:1,自引:1,他引:0  
In this paper, an integrated urban earthquake vulnerability assessment framework, which considers vulnerability of urban environment in a holistic manner and performs the vulnerability assessment for the neighborhood scale, is proposed. The main motivation behind this approach is the inability to implement existing vulnerability assessment methodologies for countries like Turkey, where the required data are usually missing or inadequate for the decision-makers in prioritization their limited resources for risk reduction in the administrative units from which they are responsible for. The methodology integrates socio-economical, structural, coastal, ground condition, vulnerabilities (fragilities), as well as accessibility to critical services. The proposed methodology is implemented for Eskisehir, which is one of the metropolitans of Turkey. In the implementation of the proposed framework, geographic information system (GIS) is used. While the overall vulnerabilities obtained for neighborhoods are mapped in GIS, the overall vulnerabilities obtained for buildings are visualized in 3D city model. The main reason behind using different mapping and visualization tools for vulnerabilities is to provide better ways for communicating with decision-makers. The implementation of the proposed vulnerability assessment methodology indicates that an urban area may have different vulnerability patterns in terms of structural, socio-economical, and accessibility to critical services. When such patterns are investigated, effective vulnerability reduction policies can be designed by the decision-makers. The proposed methodology well serves for this purpose.  相似文献   

18.
A quantitative analysis of historical aerial photographs and maps coupled with detailed field surveys provided the data necessary to assess the importance of overwash processes and vegetative recovery on Nauset Spit, Cape Cod, Massachusetts Development of all plant communities and physiographic features is extremely rapid on the spit. Dunes are evident from aerial photographic analysis in as little as three years after overwash; salt marshes are apparent in only ten years. Classical ecological succession does not appear to occur on Nauset Spit, since environments are periodically altered by overwash processes. Most dominant species on the barrier can grow in bare sandy substrate. Migration of the Nauset Spit, system proceeds by a cyclic series of events, involving inlet dynamics, overwash processes, and dune building  相似文献   

19.
通过对交杯四沙表层现代沉积考察,分析了滩面沉积微地貌类型,并结合粒度分析和成分分析,探讨不同微地貌沉积特征,进而讨论了交杯四沙的形成过程。研究结果表明:交杯四沙表层发育有低潮线以下、低潮位波浪冲洗带、高潮位冲洗带、风暴潮冲洗带、滩顶冲越带、滨后冲越带、冲越扇中部、冲越扇前缘斜坡以及分流间湾区等9个微地貌单元,代表了9个微相分区。交杯四沙滩面主要以粉砂和细砂为主,整体上分选性中到差,矿物成分主要为石英和黏土矿物。交杯四沙东侧是磨刀门主河槽,以径流为主;西侧沿白藤海、灯笼沙至三灶岛水道以潮流为主。交杯三沙以南,四砂以北构成了分流间凹地环境。交杯四沙以南为东南向的波浪作用带。交杯四沙和其他交杯沙系列沙体具有相同的形成过程,首先由河槽底流将拦门沙沉积物向西搬运沉积形成浅滩,浅滩受潮流和波浪的改造其平面形态呈酒杯状,浅滩的东南面受东南向波浪的作用,前坡遭受侵蚀,在后坡产生堆积,由此沙滩逐渐向陆迁移,先后形成交杯一沙、二沙、三沙和四沙,交杯一沙、二沙和三沙已经合并成陆。随时间的推移,交杯四沙也将与交杯三沙相接成陆,新的交杯五沙有望形成,磨刀门西侧浅滩区将演变成由一系列反曲沙脊和潮滩相间而成的三角洲“滩-脊”平原。  相似文献   

20.
We present here the first paleotempestology record from Wassaw Island on the Georgia Bight, located in the south Atlantic coast of the United States. In the historical period, the Georgia Bight has experienced less frequent hits by hurricanes than other locations along the US Gulf and Atlantic coasts. The 1900‐year record inferred from the overwash sand layers from a back‐barrier marsh on Wassaw Island suggests that the island was directly struck by major storms on nine occasions during this interval. The Wassaw Island record shows alternating regimes, with periods of increased activity from >2000 a BP until ~1100 a BP, and ~100 a BP until the present, sandwiching a quiet period from ~1100 to 250 a BP. Storm deposits from the most recent active period are perhaps amalgamated, indicating that site‐specific conditions may result in event undercounting and suggests that the relative thickness of sand layers in the sedimentary record is not always indicative of hurricane strength. Copyright © 2011 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号