首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 218 毫秒
1.
基于DDS的多调制功能正弦信号发生器   总被引:3,自引:1,他引:2  
为了研究一种基于直接数字频率合成技术(DDS)的正弦信号发生器,以89S52和现场可编程门阵列(FPGA)为控制核心,DDS专用芯片AD9851为正弦信号模块,并设计实现AM、FM及二进制键控(PSK、ASK)等多调制信号功能。结果表明:实现了频率范围1Hz-30MHz正弦信号的无失真输出;通过以AD811和推挽电路为基础的后级功放,正弦信号的输出幅度在50Q负载上达到Vopp=20V;多调制信号输出稳定。  相似文献   

2.
本文论述了一个基于直接数字频率合成芯片AD9850,采用可编程门阵列FPGA设计完成的正弦信号发生器。该信号发生器包括信号产生部分、信号调理部分、信号处理部分和人机界面等4个部分。程序设计采用硬件描述语言VHDL,在ALTERA公司的Cyclone系列的EP1C6芯片上编程实现。经测试,该正弦信号发生器输出频率范围为1 kHz~10 MHz,输出幅度在50Ω负载上达VOPP≥1 V,具有频率设置步进功能、AM和FM调制功能,可产生二进制PSK和ASK信号。整机功能齐全,输出波形稳定,没有明显失真。  相似文献   

3.
变频技术是重要的节能技术,所以针对低频或转速不恒定的节能设备,提出了基于FPGA数字控制的三相交交直接变频电源技术。用VHDL语言对主控芯片FPGA编写程序,其输出的高频SPWM信号经驱动电路后作为电源和负载间开关MOSFET的控制信号。MOSFET周期性地部分阻塞电源不能达到负载来改变输出电压的频率,同时在放行的时区斩波来改变输出电压的幅值。基于Matlab仿真平台,对系统进行了建模和仿真,仿真结果验证了该技术的正确性。最后给出了频率为7.14 Hz和2.63 Hz的实验波形,实验结果证明了该技术的可行性。  相似文献   

4.
针对感应加热控制器存在的电路复杂、温度漂移等问题,对中频感应加热逆变电路进行了分析,提出了一种基于现场可编程门阵列(FPGA)的智能控制器的设计。同时考虑谐振逆变电路的负载功率因数,建立了全数字锁相环(ADPLL)电路设计,再对感应加热输出恒定功率进行专用软核的搭建设计。由ModelSim仿真结果表明了这种基于FPGA的专用软核设计方案降低了使用成本,实现了输出恒定功率的控制及负载电流信号的跟踪,使系统具有更强的鲁棒性和适应能力。  相似文献   

5.
超声检漏作为一种新型检漏方法,越来越多地应用于工业现场.为满足超声检漏中超声探头激励的需要,介绍了一种任意波形发生器的设计,利用直接数字式频率合成(DDS)技术,以FPGA作为主要器件,并辅以必要的放大、滤波电路,实现任意波形的产生.通过串行接口,用单片机来设定频率和幅度的大小以及波形的选择;FPGA用来改变DDS频率控制字,并由FPGA来实现波形表生成和频率控制;将FPGA产生的波形数据送入到AD7524进行D/A转换,通过低通滤波器和集电极开路电路来提高输出波形质量并增强其带负载能力.最后给出了本设计产生的正弦信号与函数发生器产生的正弦信号的频谱分析比较.  相似文献   

6.
基于FPGA的DDS多路信号源设计   总被引:2,自引:0,他引:2  
提出了一种基于FPGA的DDS多路信号源的原理方案和实现方法.该信号源以高精度D/A转换器为核心构成波形重构电路.使用电子模拟开关实现多路信号输出切换.设计的信号源可同时输出32路,波形信号可为正弦波、锯齿波、三角波和矩形波,且输出信号的频率、幅值和偏置灵活可调.  相似文献   

7.
基于NiosⅡ的数字信号源的SOPC设计   总被引:2,自引:1,他引:1  
李鸿 《电子测量技术》2009,32(12):118-122,130
研究了基于NiosⅡ嵌入式软核处理器的全数字通用信号源的SOPC设计与实现方法,阐述了该信号源的总体设计方案,重点介绍了FPGA中自定义组件的设计,信号发生模块的设计,给出了信号发生模块的顶层设计原理图及时序仿真结果。该信号源可以灵活地实现任意波形输出,并且输出频率稳定、准确,波形质量好和输出频率范围宽,具有很高的应用价值。采用SOPC方案进行系统设计,充分利用FPGA的可编程性,整个开发过程变得灵活方便。  相似文献   

8.
基于Xilinx FPGA IP CORE的可调正弦信号发生器设计   总被引:1,自引:0,他引:1  
针对传统基于FPGA设计直接数字式频率合成器(DDS)的方法存在的代码量且使用较多的FPGA逻辑资源的不足,本文提出了一种基于Xilinx FPGA IP CORE的DDS设计方法,直接调用已封装好的DDS core,无需编写DDS程序代码,只需熟悉core的接口定义和操作方法.实际应用表明,该方法能够大大提高设计效率且使用较少的FPGA资源,可以实现信号频率、相位和幅度的程序控制,输出信号具有失真度低、稳定度好、分辨率高等优点.  相似文献   

9.
恒流源作为生物电阻抗谱测量系统中的激励信号,需要输出频带宽、电流稳定。为此,提出了一种程控宽频恒流源设计方案,以STM32单片机为控制核心,通过DDS数字信号发生器产生频率可调的正弦电压信号,经Tietze电流泵进行电压电流转换,转换频带宽,畸变小的电流信号,利用以MCP41010数字电位器为调节单元的程控增益放大,解决恒流源的高频衰减问题。在仿真实验证明恒流源随频率和负载改变输出特性优良的基础上,研制了恒流源装置。实验表明,恒流源可控输出频率为0~1 MHz,负载能力为0~5.4 kΩ,电流有效值随频率、负载改变平均误差分别为0.137%、0.251%,具有输出频带宽、带负载能力强、电流输出稳定特点,能够满足生物电阻抗谱测量需求。  相似文献   

10.
采用频率分段及直接数字频率合成技术和集成锁相环技术相结合的设计方法,来产生0.1 Hz~1.1 GHz连续可调的时钟信号.利用FPGA控制DDS芯片、集成锁相环芯片、可编程分频器和多路选择器,顺利实现了利用集成锁相环芯片产生GHz的时钟输出信号.测试结果表明,输出的时钟信号的频率、抖动等性能指标能够满足设计要求.利用集...  相似文献   

11.
虞敏  唐慧强  李超 《电子测量技术》2012,35(5):114-117,131
介绍了以Altera公司RISC结构的NiosII软核处理器作为系统的处理器,以FPGA芯片作为硬件平台,实现了激光雪深测量系统的设计,分别设计完成直接数字频率合成器DDS激光调制信号源、信号处理、人机交互界面等功能模块。DDS采用数字合成技术,其在精度、灵活度以及可靠性方面都大大超过了模拟信号发生器,用FPGA芯片作为DDS的载体,具有性价比高、设计灵活等优点。信号处理模块采用基于FPGA的高频脉冲填充数字鉴相技术,该技术取代了传统的鉴相方式,既简化了硬件电路又提高了鉴相精度。  相似文献   

12.
介绍了采用DDS激励PLL技术的宽带线性调频信号源的设计与实现,给出了主要的硬件电路和软件设计方案。由FPGA控制DDS芯片AD9910产生带宽可变的线性调频信号,采用DDS激励PLL的锁相倍频技术将信号倍频到4GHz。实验表明,基于该方案设计的线性调频信号源具有较高的频率分辨率和频率精确度,所产生的线性调频信号频谱干净稳定,满足雷达系统应用的要求。  相似文献   

13.
对机载塔康设备自动检测,需要模拟地面信标台的应答信号作为机载设备的激励,以检测机载设备的工作情况。提出了一种基于可编程逻辑器件,采用直接数字频率合成技术产生塔康导航设备信号的系统设计及实现。对系统的软硬件结构进行了详细描述。并给出了控制逻辑的时序仿真波形及最终输出信号波形。该设备能实现对塔康信标台发射信号的模拟,系统使用方便、结构简单,可以方便的搭建自动测试系统。  相似文献   

14.
现代电子战雷达干扰与反干扰之间的抗争愈演愈烈,干扰机要能产生多体制、多类型的干扰信号,雷达则需不断的提高 抗干扰性能。 针对雷达装备抗干扰性能测试评估对雷达干扰系统的综合性需求,以矢量信号收发仪(VST)为平台利用上位机 和 FPGA 技术,设计开发了一种雷达侦察与干扰系统,可实现雷达信号侦察、多体制干扰信号生成、雷达标校等一体化综合功 能。 给出了系统硬件方案、侦察与干扰技术方法、FPGA 功能设计和关键 FPGA 模块实现方法。 测试表明,系统射频技术指标 高,干扰样式丰富,频段覆盖广,使用灵巧,通用性强,具有一定的使用推广价值。  相似文献   

15.
介绍了基于FPGA的DSP开发技术,提出了一种设计正交信号发生器的方案。利用DSP Builder建立其数学模型,实现了模块化设计,使设计变得直观。在Simulink中进行仿真验证,通过SignalCompiler将模型转化成硬件描述语言,经过QuartusⅡ仿真正确后,下载到FPGA里。输出的正交信号能够灵活的调频、调相、调幅,实现了全数字化设计。该方案简化了硬件设计的难度,对各个模块的参数进行简单设置就能完成复杂的电子系统设计。  相似文献   

16.
采用FPGA信号处理系统的设计与应用   总被引:1,自引:0,他引:1  
讲述了以FPGA为核心的光电色选机信号处理系统的设计,该系统充分利用FPGA资源,实现1片FPGA处理256个信号点的功能,达到低成本高性能的目的.本设计利用FPGA多功能输人输出功能实现LVD5(low voltage differential signaling)的直接输人,利用逻辑元素实现系统的计数、比较和系统控...  相似文献   

17.
数字信号处理系统的硬件加速设计   总被引:1,自引:0,他引:1  
丁浩 《电子测量技术》2010,33(12):102-105
数字信号处理是信号检测与分析领域使用的主要方法之一。首先阐述了振动信号处理系统的原理,给出了数字信号处理部分的嵌入式系统设计方案。在此基础上围绕FFT和FIR模块重点论述了如何利用Xilinx公司的ISE和EDK工具完成FIR和FFT硬件加速模块的设计,综合后系统频率达到157MHz。最后通过设计相应实验在FPGA开发板硬件平台完成系统功能和运行速率的验证,从而发现采用硬件加速模块的系统运行时间显著下降。  相似文献   

18.
针对工程实践中发现的频率计存在1字节误差以及待测信号幅度过大的问题。基于多周期同步测量计数理论,提出了一种以C8051F020与FPGA为最小系统的频率计制作方案,实现对待测信号频率及脉宽的精确测量。系统主要包括3部分:信号整形部分、频率计算部分、液晶显示部分。待测信号经过信号处理后和标准信号一同输入FPGA内部,单片机协同FPGA对信号进行频率测量并读取测频数据,然后将读取到的数据经过运算处理后显示。经实验验证,该系统测频范围可达0.1 Hz~10 MHz,有效消除了1个字节的误差且具有一定的抗干扰能力。  相似文献   

19.
椭圆球面波函数(PSWF)具有时频域最佳能量聚集性及双正交性等优良特性,是极具前途的非正弦函数。基于椭圆球面波函数的非正弦时域正交调制系统充分利用了PSWF的优点,与OFDM相比,其具有功率利用率高、系统结构简单的优点。在基于PSWF的非正弦时域正交调制样机中,为了产生多路PSWF脉冲信号,设计了具有调制功能的脉冲信号发生器。信号发生器以Virtex-6系列FPGA器件XC6VLX240T作为处理器,结合高速D/A转换器AD9764和宽带放大器AD8009,采用直接频率合成(DDS)技术实现了高速信号的产生,数据更新率高达125 Msps。经过测试,该信号发生器具有精度高、转换速度快、输出波形失真小等优点。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号