首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 203 毫秒
1.
PEEK is the polymer of choice to replace metal encapsulants and other parts in active medical implants fixated into bone. The current challenge is to improve its biocompatibility with bone tissue to ultimately achieve osseointegration. PEEK sheets surfaces coated with plasma deposited nano thin polymer films using CH4, (CH4 + O2) and (CH4 + N2) gases. PEEK samples plasma treated with nonpolymerizing gases (O2) were also used for comparison. The adhesion performance of osteoblast like cells on the plasma‐treated PEEK surfaces and the presence of Vinculin in these cells were evaluated after long culturing period (12 days). X‐ray photoelectron spectroscopy and Auger spectroscopy were used to provide surface molecular information, surface hardness and molecular density. All plasma‐treated surfaces retained functionality after the sterilization process. PEEK surfaces with high number of oxygen functional groups and particularly oxygen rich thin polymer coating (plasma deposition using CH4+O2 gas mixture) resulted in strong cellular adhesion strength and large Vinculin amount. Further, osteoblast‐like cells responded better to surfaces with lower molecular density acting like another signal for cell adhesion. The osteoblast‐like cells response was weaker for surfaces with both thin films with nitrogen functional groups and nonfunctional (nonpolar) films. Furthermore, thin films rich in nitrogen functional groups repelled the cells, showed abnormal cells shape, smaller Vinculin amount and induced thicker cellular clusters with poor spread. © 2015 Wiley Periodicals, Inc. J. Appl. Polym. Sci. 2015 , 132, 42181.  相似文献   

2.
Thin coating of crosslinked polymethylhydrosiloxane are grafted on silica using sol–gel process that leads to polymer layers tailored in term of thickness and elasticity. The degree of crosslinking is tuned by sol–gel polycondensation of a mixture of methyldiethoxysilane(DH) HSi(CH3)(OCH2CH3)2 and triethoxysilane (TH) HSi(OCH2CH3)3, yielding triethoxysilane‐based networks. Samples with well‐defined thicknesses from nanometer to micrometer range are prepared by sol–gel dip‐coating method on silicon surface. Homogeneous or gradient‐thickness coating can be produced in this way. It results in surface‐attached networks bearing Si? H functionalities covalently anchored to the substrate. Powdered gels of DH/TH composition from 50/50 to 95/5 (mol %) were also prepared for a comparison purpose. The structure of the gels was investigated by NMR and FTIR, showing that DH/TH mixtures react totally to yield homogeneous matrix. The surface‐attached polymer films are very stable and present high hydrophobicity as evidenced by contact angle measurements. Their surface and mechanical properties have been qualitatively studied using the atomic force microscopy. © 2007 Wiley Periodicals, Inc. J Appl Polym Sci 104: 1504–1516, 2007  相似文献   

3.
Continuous treatment of polyester/cotton blended fabric samples was carried with hexamethyldisiloxane (HMDSO) plasma on the pilot scale atmospheric pressure plasma reactor. The mixture of helium and argon was used as carrier gas for generating dielectric barrier discharge plasma. The effect of discharge power and treatment time on the water repellent properties of samples were evaluated with contact angle (CA) and spray test measurements. Spray test and CA results showed improved resistance to wetting with water. The effect of discharge conditions on the surface morphology and surface chemistry of plasma treated samples were investigated by scanning electron microscopy (SEM) and Fourier transform infrared (FTIR) spectroscopic analysis, respectively. The presence of Si‐O‐Si and Si‐CH3 groups in the structure of plasma polymer deposited at the surface of P/C samples was revealed by FTIR spectroscopy. Further, structural differences in HMDSO plasma polymer deposited under different discharge conditions were reported with reference to organic/inorganic nature of plasma polymer. © 2011 Wiley Periodicals, Inc. J Appl Polym Sci, 2011.  相似文献   

4.
The aim of this study was to tailor the surface properties of cellulose acetate membranes using low‐pressure plasma processing. Argon (Ar) plasma and Difluoromethane (CH2F2) plasma were used to control the surface wettabilities of cellulose acetate membranes. Optical emission spectroscopy was used to examine the various chemical species of low‐pressure plasma processing. In this investigation, the plasma‐treated surfaces were analyzed by X‐ray photoelectron spectroscopy, while changes in morphology and surface roughness were determined with confocal laser scanning microscopy. Ar plasma activation resulted in hydrophilic surface. CH2F2 plasma deposited hydrophobic layer onto the cellulose acetate membrane because of strong fluorination of the top layer. The results reveal low‐pressure plasma processing is an effective method to control the surface properties of cellulose acetate membranes. © 2010 Wiley Periodicals, Inc. J Appl Polym Sci, 2010  相似文献   

5.
The new plasma enhanced aerosol–gel technique has been used for alumina films preparation, in this work. This process integrates aerosol–gel deposition of films and their plasma treatment in one reactor. The alumina films deposited by aerosol–gel method on Si substrate were plasma or thermally treated. The influence of deposition and condensation conditions on properties of the films was studied. Produced coatings were characterized in terms of surface morphology (SEM, AFM) as well as crystalline and chemical structure (FTIR, XRD). Plasma discharge used for modification of the substrates prior to the deposition process improved homogeneity of produced coatings. Coatings obtained at room temperature exhibit boehmite structure which was transformed into γ-Al2O3 after annealing. A similar transformation was induced by low temperature oxide plasma discharge treatment for sufficiently thin coatings.  相似文献   

6.
Glow discharge polymerizations of tetramethylsilane (TMS) were performed by the capacitive coupling of a 20 kHz frequency in comparison with those by the inductive coupling of a 13.56 MHz frequency. The polymers prepared by the former coupling were poorer in carbon and hydrogen, but richer in silicon than those prepared by the latter coupling. These two polymers showing similar infrared spectra contained CH3, CH2, CH, Si? O? C, Si? O? Si, Si? CH3, and Si? CH2? CH2? Si groups. Some physical properties involving surface energy, thermal stability, and absorption spectra in the regions of the UV and visible light were determined. This coating procedure was applied for surface hardening of a polyethylene sheet. The surface hardness of the polyethylene sheet was enhanced by a coating of plasma films prepared from TMS or the TMS/O2 mixtures. Surface hardness was determined by the pencil method and hardness was enhanced from 2B to 2H. The adhesion between these plasma films and polyethylene sheet was good even when immersed in 0.9% NaCl solution at 40°C for 10 days.  相似文献   

7.
Trifluoromethane (CHF3) was used as a precursor gas in pulsed‐plasma enhanced CVD to deposit fluorocarbon films onto Si substrates. The film composition, as measured by X‐ray photoelectron spectroscopy (XPS) of the C1s peak, was observed to change as the plasma duty cycle was changed by varying the plasma off‐time; this offers a route to control the molecular architecture of deposited films. FTIR results indicate that the film is primarily composed of CFx components, with little or no C H incorporation into the film. The rms roughness of the films is extremely low, approaching that of the Si substrate; the low growth rate and consequent high‐power input/thickness is believed to be partly responsible. CHF3 produces films with higher % CF2 compared to other hydrofluorocompound (HFC) monomers (CH2F2 and C2H2F4). However, the deposition kinetics for all three HFC gases display similar trends. In particular, at a fixed on‐time of 10 ms, the deposition rate per pulse cycle reaches a maximum at an off‐time of approximately 100 ms. © 2000 John Wiley & Sons, Inc. J Appl Polym Sci 78: 842–849, 2000  相似文献   

8.
Different cold plasmas have been used to treat the surface of polyethylene terephtalate (PET) in order to improve the adhesion of alumina thin films deposited by RF sputtering. The influence of these treatments on the surface free energy of the polymer is shown by a study of wettability. ESCA analysis of the PET surface suggests that chemical changes occur as the polymer is plasma treated.

The adhesion of alumina films on PET is studied by using tensile testing. The results show that the surface treatment of the PET by a slightly oxidizing plasma, such as carbon dioxide, increases by a factor of 1.7 the adhesion of alumina coatings.  相似文献   

9.
A new copolymer, referred to as poly(phenylene‐vinylene) (PPV)‐ether, built as PPV a polymer with some (? CH?CH? ) links changed into (? CH2? O? CH2? ) ethylic‐ether links, is insoluble in common solvents. PPV‐ether films are deposited by the thermal evaporation technique. X‐ray photoelectron spectroscopy for chemical analysis measurements indicate that the surface contamination decrease in the case of vacuum‐evaporated PPV‐ether. The scanning electron micrographs indicate that the surface of these vacuum‐deposited PPV‐ether are uniform. By comparison to the reference powder, the modification of the properties of the evaporated PPV‐ether are related to the decrease of the chain length and surface contamination. The results of the photoluminescence measurements reveal a shifting of the luminescence to blue in the vacuum‐evaporated PPV‐ether. © 2003 Wiley Periodicals, Inc. J Appl Polym Sci 89: 3091–3099, 2003  相似文献   

10.
One hundred and fifty nanometre thick polymer films made of allyl alcohol and H2O addition were deposited onto aluminium substrates using the radio-frequency (rf) pulsed plasma mode. The structure–property relationships of polymer films were studied in dependence on the precursor ratio allyl alcohol-water. Both the regularity of structure and composition of such thin films in comparison to chemically polymerized allyl alcohol were investigated using by bulk-sensitive Fourier transform infrared spectroscopy (FTIR) in the spectral range of 4000–500 cm?1 as well as surface-sensitive X-ray photoelectron spectroscopy (XPS). The intention of this work was to increase the yield in OH groups by addition of water to the allyl alcohol precursor. For an unambiguous identification of the functionality of the deposited films, the OH groups were labelled with trifluoroacetic anhydride and subsequently measured by XPS as well as quantitatively by FTIR. As expected, the O/C ratio grew with increasing water admixture by oxidation of both the plasma polymerized allyl alcohol layer to preferably aldehyde and/or carboxylic acid groups. In contrary, the concentration of OH groups in the deposited polymer film decreases dramatically with increasing admixture of water to the allyl alcohol plasma. It has been shown that the additional water has produced preferably higher oxidized C-Ox species with two or three C–O bonds. This fits also very well with the observation that almost no deuterium is introduced into the surface of plasma polymer if D2O was added instead of H2O.  相似文献   

11.
The hydrophilicity of oxygen plasma‐reated polymer surfaces decays with storing time in air environments. Because they are dense, highly crosslinked, and chemically stable, diamond‐like carbon (DLC) films and silicon oxide films (SiOx) were deposited on poly(ethylene terephthalate) by plasma‐enhanced chemical vapor deposition to restrict polymer surface dynamics. In this study, the effects of ultrathin films on surface dynamics of these polymers were investigated. The layers were deposited on substrates with thickness below 100 Å. The thickness of films was measured with a scanning analyzer ellipsometer, while ATR‐IR spectroscopy and Raman spectroscopy were performed to observe the chemical structure of the films. Films below 50 Å were also shown to be effective in stabilizing the plasma treated polymer surfaces. © 2000 John Wiley & Sons, Inc. J Appl Polym Sci 75: 1158–1164, 2000  相似文献   

12.
Influences of thermal annealing on structural, optical and morphological properties of the tantalum pentoxide (Ta2O5) thin films were investigated and anti-reflective performances were discussed in detail. The Ta2O5 thin films were deposited onto Corning Glass (CG), Si, GaAs and Ge substrates by radio-frequency (RF) magnetron sputtering technique using Ta2O5 ceramic target. The obtained secondary ion mass spectroscopy (SIMS) analysis results showed that uniform Ta and O distribution have formed throughout depth of the films deposited on substrates. The X-Ray diffraction (XRD) results indicated that the annealed Ta2O5 thin films at 100, 200, 300 and 500?°C have exhibited amorphous (a-Ta2O5) characteristic. The increased temperature has resulted in increasing the surface roughness from 0.67 to 1.60?nm. The optical transmittance of the annealed thin films has increased from 70.85 to 80.32% with increasing temperature. Spectroscopic ellipsometer (SE) measurement results demonstrated that the increased temperature has increased the refractive index of the Ta2O5 thin film from 2.11 to 2.18. The Ta2O5 thin film has reduced the average optical reflectivity of the Si, GaAs and Ge substrates by 78, 55 and 70%, respectively. In addition, thermal annealing process has decreased the optical reflectivity of the film. The obtained experimental results showed that single-layer Ta2O5 thin films can be used as anti-reflective layer in optical and optoelectronic applications. The best optical transmittance and anti-reflective performance were obtained at the annealing temperature of 500?°C.  相似文献   

13.
A stable superhydrophobic coating was successfully deposited on commercial silicate‐based orange phosphor by using atmospheric pressure dielectric barrier discharge plasma with hexamethyldisiloxane (HMDSO) and HMDSO/toluene mixture as precursors. Owning to the good optical properties, the deposited film acts not only as a hydrophobic protective layer but also as an antireflection optical thin film capable of improving the phosphor photoluminescence efficiency. The plasma‐polymerized film based on Si?O?Si backbone containing methyl and phenyl nonpolar functional groups exhibited high‐water‐repellent characteristics. It was found that the water contact angle gradually increased with increasing the aging time and remained unchanged at about 140° after 1‐month aging. Besides, the thermal stability of the coated phosphor under high‐temperature condition was substantially enhanced by the aging. The findings of this work can contribute to improving the durability and reliability of the phosphor, eventually the long‐term stability of phosphor‐based light emitting diodes in practical applications. © 2014 American Institute of Chemical Engineers AIChE J, 60: 829–838, 2014  相似文献   

14.
《Diamond and Related Materials》2000,9(9-10):1604-1607
Diamond films with different crystal structures, morphologies and surface characteristics were synthesized under various deposition parameters and annealing conditions by the microwave plasma chemical vapor deposition (MWPCVD) method using gas mixtures of CH4, CO and H2. The effects of CH4 concentrations, grain sizes, grain orientations, film thicknesses and annealing technologies in various ambient gases on planar electron emission of diamond films were studied. The results show that small-grained and (011)-oriented diamond films deposited under the condition of high CH4 concentration present the properties of high emission current and low threshold voltage; the emission current increases with decreasing the film thickness. There are largest current density and lowest threshold voltage at the film thickness of 1.5 μm. The annealing in H2 after deposition appears to be more beneficial in lowering the threshold voltage, increasing emission current and improving stability for electron emission of films than annealing in N2 or Ar. These results indicate that diamond thin films with high emission current, low threshold voltage and high stability can be obtained by selecting suitable deposition parameters of diamond films.  相似文献   

15.
Plasma deposition of a thin top layer with tailored properties is an effective strategy of modification of the organic coating surface. Thin plasma polymer layers are candidates and can provide superior hardness, scratch resistance, modified surface hydrophobicity and easy to clean properties.The present work studies the stability of thin plasma polymer films deposited as top layer on polyurethane coil coating systems. Microwave, hollow cathode and radio frequency plasma polymerization reactors were employed in order to deposit a thin SiOx based plasma polymer layer.The plasma film stability was studied using surface analysis techniques, ex situ and in situ atomic force microscopy and scanning electron microscopy. Energy dispersive spectroscopy, FTIR spectroscopy and optical measurements confirm the composition and plasma layer properties. The structure of the plasma layers was investigated by means of transmission electron microscopy.The surface morphology together with composition evolution allows the study of the stability of the different coatings. The structure examination of the formed plasma polymer film offers good clarification for coating failure. Decrease of the operating pressure during plasma polymerization and oxygen concentration in precursor mixture lead to formation of compacter layer with higher stability. Introduction of fluorine-containing precursor also increases the anti-weathering performance of the plasma polymer films.  相似文献   

16.
Amorphous carbon thin films were deposited by LF (100 kHz), RF (13.56 MHz), and pulsed RF (13.56 MHz) plasma CVD with DC self-bias voltage of?300 V and 50 mTorr on Si wafers at 15 ?C using a mixture of methane and hydrogen for comparing structural properties of the deposited films in an asymmetric plasma reactor. The surface morphologies of the deposited films were observed by Atomic force microscopy (AFM). The average roughness (Ra) analyzed by AFM data was 4.03, 1,84, 1.52 å at LF (100 kHz), RF (13.56 MHz), and pulsed RF (13.56 MHz) plasma, respectively. From these results, the films deposited by pulsed RF plasma have more smooth and dense surface compared with those deposited by LF (100 kHz), and RF (13.56 MHz) plasma. The ratios of ID/IG obtained from Raman data were 2.69, 0.76 and 0.44 at LF (100 kHz), RF (13.56 MHz), and pulsed RF (13.56 MHz) plasma, respectively. It is concluded that the film deposited by pulsed RF plasma has more diamond-like properties compared with that deposited by LF (100 kHz), and RF (13.56 MHz) plasma.  相似文献   

17.
SiOx films were deposited from a mixture of tetramethoxysilane (TMOS) and oxygen on poly(ethylene 2,6‐naphthalate) film using ion‐assisted plasma polymerization technique (Method II) and conventional plasma polymerization technique (Method I), and were compared in chemical composition and gas barrier properties. Methods I and II were different in electrical circuit between electrodes (anode and cathode) and electric power supply. In Method I, the anode electrode was grounded, and the cathode electrode was coupled to the discharge power supply. In Method II, the anode electrode was connected with the discharge power supply, and the cathode electrode was grounded. There was not large difference in SiOx deposition rate between the plasma polymerizations by Methods I and II. Plasma polymers deposited from TMOS/O2 mixtures by Method II possessed smaller C/Si and O/Si atomic ratios than those deposited by Method I and showed advantage in gas barrier properties. The oxygen and water vapor permeation rates were 0.08–0.13 cm3 m?2 day?1 atm?1 at 30°C at 90% RH and 0.244–0.276 g m?2 day?1 at 40°C at 90% RH, respectively. From these results, it can be concluded that the ion‐assisted plasma polymerization is a useful technique for deposition of gas barrier SiOx thin films. © 2007 Wiley Periodicals, Inc. J Appl Polym Sci 104: 915–925, 2007  相似文献   

18.
We report an environmentally “green” method to improve adhesion at a polymer/metal interface by using supercritical carbon dioxide (scCO2). Spun-cast polystyrene (PS) and poly(methyl methacrylate) (PMMA) thin films on cleaned Si wafers were used for this study. Film thicknesses of both polymer films were prepared in the range of 100 Å to 1600 Å. We exposed the films to scCO2 in the pressure-temperature (P–T) range corresponding to the density-fluctuation ridge, where the excess swelling of both polymer films occurred, and then froze the swollen structures by quick evaporation of CO2. A chromium (Cr) layer with film thickness of 300–400 Å was deposited onto the exposed film by using an E-beam evaporator. X-ray reflectivity (XR) measurements showed that the interfacial width between the Cr and exposed polymer layers increased by a factor of about two compared with that without exposure to scCO2. In addition, the large interfacial broadening was found to occur irrespective of the thickness of both polymer films. After the XR measurements, the dewetting structures of the PS/Cr films induced by additional annealing were characterized by using atomic force microscopy, showing improved surface morphology in the exposed films. Contact angle measurements showed that a decrease in interfacial tension with exposure to scCO2 accompanied the increase in interfacial width.  相似文献   

19.
To improve the ablation resistance of ZrC coating, MoSi2 incorporated ZrC composite coatings were fabricated by vacuum plasma spray. The ablation resistance of the composite coatings was evaluated using a plasma jet with a heat flux of 1.94?MW/m2. The phase compositions and microstructures of the coatings before and after ablation were investigated, and the ablation mechanisms and effect of MoSi2 were analyzed based on thermal dynamics and microstructure changes. Results showed that MoSi2 addition could improve the ablation resistance of ZrC coating by means of decreasing the surface temperature and changing the microstructure of the oxidation layer. Si derived from the decomposition of MoSi2, which occurred within coating, was beneficial to maintain the thickness and integrity of the SiO2 layer and reduce the oxygen pressure beneath. The thickness of the SiO2 layer was related to the formation rate (Vf) and the consumption rate (Vc) of SiO2. The diffusion of Si was in favor of increasing the value of Vf. MoSi2 could be one choice to improve the ablation resistance of the ZrC coating.  相似文献   

20.
We investigated the growth of TiO2 on poly((tetrahydropyran‐2‐yl N‐(2‐methacryloxyethyl) carbamate)‐co‐(methyl 4‐(3‐methacryloyloxypropoxy) cinnamate) (THP‐polymer) using thermal heating, octyl isocyanate (OIC), and glutaraldehyde. It is found that TiO2 can be grown on surfaces terminated with ? NH2 and ? O? groups from aqueous solution. However, TiO2 did not deposit on ? CH3 terminated surfaces, due to the low surface energy of these surfaces. Fourier transform infrared spectroscopy and thermogravimetric analysis data showed that the ? THP functional group can be removed and the surface functional group converted to ? NH2 by heating the material over 180°C. OIC can then be immobilized on the surface after heating, changing the surface functional group from ? NH2 to ? CH3. As TiO2 can be deposited from solution on ? NH2 terminated, but not ? CH3 terminated surfaces, THP‐polymer can be used to switch the surface properties by thermal activation and subsequent reaction with OIC. © 2011 Wiley Periodicals, Inc. J Appl Polym Sci, 2011  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号