首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 375 毫秒
1.
系统采用FPGA设计了步进电机正弦脉宽调制细分驱动电路,提高了步进电机的步进分辨率,并设计了功率驱动电路,对细分电路输出信号进行了隔离和功率放大,以确保电机能够稳定可靠地运行。经过对二相混合式步进电机测试表明,步进电机运行平稳,定位精度较高,改善了步进电机的运行性能,适用于要求较高的实时控制系统。  相似文献   

2.
文章的设计是以FPGA的逻辑控制模块为系统核心。在对步进电机的细分驱动控制进行研究的基础上,将步进电机细分驱动应用于新的场合——红外源的搜索定位。该设计的FPGA模块中,包含了时钟预处理子模块、步进电机细分驱动子模块和信号处理子模块;该模块能够实现对雷达的转速控制,也能够分析信号接收时的外部红外源角度状态信息。在外围电路的设计上,配置了步进电机的驱动模块、红外信号接收模块和直流电机的驱动模块。整个系统能够很好地完成对红外源的搜寻和跟随。  相似文献   

3.
基于FPGA的两相步进电机细分驱动器设计   总被引:1,自引:0,他引:1  
采用步进电机驱动的机构中,为了提高定位精度,文章提出了一种高性能的步进电机细分控制系统设计,该系统由FPGA和专用集成电路IXMS150 PSI构成,在FPGA中嵌入Cos/Sin表,通过查表控制步进电机两项绕组电流,实现了高精度的步进电机细分控制系统,提高了步进电机的运行精度,消除了低速震荡现象,该系统可用于机器人,打印机和光学平台等精密位置控制系统。  相似文献   

4.
在研究步进电机驱动原理的基础上,介绍基于FPGA的步进电机控制器的设计,提出了一种采用FPGA芯片实现步进电机恒转矩驱动的方法,实现步进电机控制,并利用QuartusⅡ进行仿真并给出仿真结果。利用FPGA芯片中的嵌入式阵列块(EAB)构成LPM_ROM来存储步进电机各相细分电流的数据,并把斩波控制电路集成到FPGA内部,从而提高了系统的集成度和稳定性。采用VHDL语言控制可以根据步进电机的不同,改变模块程序的参数就可以实现不同型号步进电机的控制,有利于步进电机的广泛应用。  相似文献   

5.
基于FPGA的步进电机精密运动控制方法与系统   总被引:4,自引:0,他引:4  
以某生化分析仪控制系统改进为研究目标,在讨论步进电机恒力矩细分驱动理论基础上,提出一种基于FPGA步进电机精密运动控制方法,实验表明,系统能够实现高定位精度和定位重复性,解决了生产实际中的技术问题,提高了产品质量.  相似文献   

6.
文章以Motorola微控制器MC68HC908GP32为核心,在分析混合式步进电机斩波恒流细分驱动原理的基础上,结合步进电机驱动芯片L297/298,设计出步进电机的斩波恒流细分驱动器.此细分驱动器较好降低了步进电机运行中发热现象,提高了定位准确度.  相似文献   

7.
本文介绍了一种计算机控制的生物样品分选仪的运动控制系统,其控制对象为3台两相混合式步进电机。本文描述了运动控制系统中基于FPGA的步进电机细分驱动装置的设计。该装置采用恒流斩波驱动电路,可以显著改善步进电机的综合使用性能,使仪器的分选操作运动精确、灵活和易于控制。  相似文献   

8.
孟武胜  何博  王波 《机电一体化》2011,17(10):41-44,61
为使步进电机输出转矩恒定,提高位置控制的精确度,消除低频下的干扰,介绍了一种新的步进电机驱动技术.驱动器采用赛灵思公司的FPGA作为控制核心,完成256细分和PID控制,并在EDA仿真工具Modelsim下验证了正确性.在实验条件下证实了该技术的可行性.  相似文献   

9.
以天线指向机构为研究对象,采用两相混合式步进电机作为其驱动机构,设计基于国产FPGA的伺服控制器.以细分SPWM技术为基础,设计基于插值的PID控制算法进行位置闭环控制来提高步进电机的指向精度和保证步进电机的平滑指向,在Simulink中对此算法进行仿真分析.进行热循环试验和EMC试验来验证国产FPGA在空间环境中的可靠性.试验表明,该伺服控制器的指向精度达到0.1°,满足空间环境可靠性的要求.  相似文献   

10.
单片机控制的步进电机脉宽调制式细分驱动系统   总被引:1,自引:0,他引:1  
在对步进电机细分驱动原理进行深入研究的基础上,提出了一种新的步进电机细分驱动电路─—单片机控制的脉宽调制式细分驱动电路,并对步进电机的恒力矩均匀细分控制进行了论述。  相似文献   

11.
基于FPGA实现的多轴数控雕刻机系统   总被引:2,自引:0,他引:2  
介绍一种基于FPGA和MCU配合的数控雕刻机系统,由MCU来完成读取数据,数据处理和响应键盘,液晶显示等人机交互工作,利用FPGA设计一种发送脉冲控制步进电机工作的定制电路,该系统可以做到精确的速度控制以及连续弧线和连续的字体沟边运动。本文着重介绍数据的预处理、MCU和FPGA的数据传送以及如何用FPGA设计定制电路,实现发送脉冲控制步进电机工作的功能。  相似文献   

12.
本文介绍用PLC软件环分的步进电机控制系统。其控制电路新颖、简洁、组合方便,可以使系统简化,工作可靠,而且可以获得较高的控制精度。  相似文献   

13.
基于单片机的步进电机控制系统设计与实现   总被引:4,自引:0,他引:4  
介绍了一种采用AT89C51单片机控制步进电机的实用电路。详细介绍了系统的键盘输入和LED显示电路、控制电路、信号隔离、放大驱动电路以及相应的系统程序流程图。该系统可应用于多种步进电机控制的场合。实验结果表明,系统可以稳定可靠地实现对步进电机的控制,性能优于传统的步进电机控制器。  相似文献   

14.
基于单片机的步进电机驱动   总被引:1,自引:0,他引:1  
介绍基于AT89C51单片机的步进电机软件环分驱动,其控制电路新颖、简洁、组合方便,能够帮助学生理解单片机和步进电机的原理、特点和驱动方法。  相似文献   

15.
介绍一种机械手关节运动的 PC机控制方法 ,它包括步进电机的驱动、硬件接口电路的设计、软件的编程方法。另外 ,对步进电机的变速控制也作了简要分析。实验表明 :该系统具有控制灵活、编程简单、调整方便等优点。可用于科研和教学实验之中。  相似文献   

16.
针对背照式CCD47 - 10的驱动时序要求,提出以FPGA作为时序发生器的驱动电路设计方案.通过对时序分析,完成时序发生器、电压偏置电路、驱动器3个模块的硬件电路设计.使用VHDL语言进行逻辑电路设计,实现电路控制、参数配置以及驱动时序产生.最后通过成像实验,对驱动电路性能进行分析.实验表明,该电路能驱动CCD47 - 10在两种模式下稳定工作,满足CCD47 - 10的应用要求.  相似文献   

17.
基于PC/104总线与FPGA的多通道同步数据采集卡的研究   总被引:2,自引:0,他引:2  
设计了一种基于PC/104总线的多通道同步数据采集卡,实现在一次A/D转换过程中,多路数据同时采样。硬件上采用FPGA进行控制逻辑设置,以FPGA集成的FIFO为桥梁和过渡,最大限度利用了FPGA的内部资源,简化了硬件电路,同时也提高了配置的灵活性。  相似文献   

18.
为实现光机结构、集成电路等领域中大行程及高精度的位移控制,利用较为适用的非共振式尺蠖型压电直线电机,基于高压功率运算放大器,设计了非共振式压电直线电机的精密复合放大驱动电路,通过理论分析及实验得到的伯德图验证了驱动电路的分辨率和幅频特性。以现场可编程门阵列(FPGA)为核心处理器,以光栅尺为反馈元件,通过分析非共振式压电直线电机的多种运行模式,根据直线电机的运行时序,设计完成了开环大范围整步运行模式与闭环小范围单步运行模式相结合的控制策略,在单步运行模式中分别设计完成了PID控制算法及PID与压电陶瓷迟滞逆模型前馈相结合的复合控制算法。实验结果表明,该控制策略能够实现大行程内的精密位移控制,复合控制算法具有比PID更加优越的控制效果,能够在21mm大行程内实现1.5nm的闭环定位控制精度,直线电机的最大驱动力可达300N,满足大行程高精度位移控制的应用需求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号