首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 562 毫秒
1.
Lanthanum-modified lead zirconate titanate (PLZT) thin films (50 nm to 200 nm) were deposited on Pt/SiO2/Si substrate by metal-organic chemical vapor deposition (MOCVD). The electrical properties of the films were investigated as a function of the La content or the substrate temperature. Ferroelectric PZT(0/50/50) films were obtained at substrate temperatures as low as 500 °C; their electrical characteristics improved with increasing substrate temperature. La exhibited adequate solid solution in the PZT above 650 °C. PLZT(15/45/55) films with a thickness of 100 nm were found to have good properties for application to the capacitors of dynamic random access memory (DRAM), namely, an effective charge density of 80 fF/μm2, a permittivity of 1000, an SiO2 equivalent thickness of 0.4 nm, and a leakage current density of 5 × 10−8 A/cm. Addition of La to PZT was effective in reducing the leakage current with an increase in the registration rate. RuO2 and/or IrO2 bottom electrodes for ferroelectric PLZT films were also investigated. The RuO2 films were found effective as diffusion barriers for PLZT and MgO. Significant interdiffusion at RuO2/Si and RuO2/SiO2 interfaces occurred during the deposition of PLZT films. Annealing of the RuO2 film considerably depressed interface reactions. © 1998 Scripta Technica. Electr Eng Jpn, 122(1): 25–36, 1998  相似文献   

2.
High-dielectric-constant (Ba, Sr)TiO3 [BST] films were deposited by the liquid source chemical vapor deposition (CVD) method. The system consisted of a single-wafer, low-pressure thermal CVD reactor, a vaporizer for liquid source materials, and a shower-type gas nozzle head, giving stable BST film deposition on a 6-in. diam. substrate with uniform thickness and uniform chemical composition ratio. The source materials employed were Ba(DPM)2, Sr(DPM)2, and TiO(DPM)2 dissolved in tetrahydrofuran (THF), resulting in conformal step coverage of BST films at lowered substrate temperatures, where DPM denotes dipivaloylmethanate. Moreover, the two-step deposition technique was developed to restart protrusions formed on BST film surfaces at low temperatures, where the BST films consisted of a buffer layer and a main layer; the buffer layer was a layer about 60 Å thick of CVD-BST film annealed in N2. Thus, the two-step CVD deposition of BST films on Pt and Ru electrodes achieved an equivalent SiO2 thickness of teq ∼ 0.5 nm, a leakage current of JL ∼ 1.0 × 10−8 A/cm2 (at +1.1 V), and a dielectric loss of tan δ ∼ 0.01 at a total film thickness of 250 Å, along with conformal coverage of 80% for a trench with an aspect ratio of 0.65. Then, for BST films deposited on patterned electrodes 0.24 μm wide, 0.60 μm deep, and 0.15 μm high (each spaced by 0.14 μm), the capacitance was demonstrated to be increased without significant deterioration of the leakage current: the capacitance was increased in comparison with that for films on flat electrodes, by a factor corresponding to the increase in surface area due to sidewalls of storage-node-like pattern features. This capacitance increase reflects the most characteristic advantage of CVD, an excellent step coverage on microscopic pattern features. These electrical properties satisfy the specifications for capacitors for Gb-scale dynamic random access memories (DRAMs), giving a storage capacitance of more than 25 fF/cell for a stacked capacitor having a storage node 0.2 to 0.3 μm high. © 1998 Scripta Technica, Electr Eng Jpn, 125(1): 47–54, 1998  相似文献   

3.
Abstract

DRAM manufacturers face a tremendous challenge to keep the DRAM cell capacitance constant from generation to generation. The 256 Mb DRAM will certainly represent the last DRAM generation to use the standard storage dielectric materials consisting of silicon dioxide and silicon nitride. These materials cannot be shrunk further, and the capacitor area cannot be kept constant in a manufacturable cell. The most promising approach to keep cell capacitance constant in future DRAM generations, beyond 256 Mb, is to develop and integrate high dielectric constant materials.  相似文献   

4.
Abstract

Platinum thin films were deposited by low pressure chemical vapor deposition (LPMOCVD) on SiO2/Si and (Ba, Sr)TiO3/Pt/SiO2/Si substrates using Pt-hexafluoroacetylacetonate at various deposition temperatures. The shiny mirror-like Pt thin films of a high electrical conductivity were obtained, when the deposition temperature is between 325°C and 350°C, whereas above 375°C Pt thin films showed rough surface as well as poor adhesion property to oxide substrate. Pt thin films had a good step coverage of 90%. The results indicate that LPMOCVD Pt thin films can be applied for the top electrode of high dielectric thin film, which is thought to be one of the best candidate materials for a capacitor of ULSI DRAM.  相似文献   

5.
Abstract

The effect of various temperature nitrogen anneals prior to top electrode deposition on the ability of Ba0.7Sr0.3TiO3 (BST) thin-film capacitors with both Ir and Pt top electrodes to withstand hydrogen damage was investigated. Experimental results show that samples that underwent a 750 °C N2 pre-top electrode anneal exhibited the lowest leakage current density at positive bias for both Ir- and Pt-electroded devices after forming gas anneal. It was also found that DRAM polarization values decreased slightly after forming gas anneal. Also, a post-top electrode deposition 550°C O2 anneal improved both electrical characteristics (lowered leakage and increased DRAM polarization) of these devices. Complete recovery of the leakage level prior to hydrogen damage was obtained after a 550°C N2 recovery anneal for some devices independent of the pre-top electrode anneal. Ir- and Pt-electroded BST (40nm) capacitors have been shown to meet the 1 giga-bit DRAM leakage current requirement of 10?8 A/cm2 at 1.7 V. These Ir- and Pt-electroded BST devices achieved capacitance density levels of approximately 50 fF/μm2.  相似文献   

6.
Abstract

A hetero-epitaxial Au/PbZr0.48Ti0.52O3(PZT)/SrRuO3(SRO) capacitor was fabricated on a single crystal SrTiO3 (STO) substrate by pulsed laser deposition. An SRO buffer layer (a nucleation layer) was formed at the SRO/STO interface to ensure the highly epitaxial growth of the PZT and SRO films. An X-ray diffraction measurement revealed that the (00l) planes of the PZT and SRO grew parallel to the substrate surface. A transition layer of ~ 5 nm thickness was observed at the SRO/STO interface by high-resolution transmission electron microscopy (HR-TEM). This transition layer corresponds to the nucleation layer intentionally grown at the interface. Remanent polarization of the capacitor was 32.1 μC/cm2 due to the good epitaxial growth of the films.  相似文献   

7.
Abstract

Three important aspects of the preparation of SrTiO3 thin films by MOCVD are discussed in detail in view of the application of these films as the capacitor dielectric of Gbit-scale DRAMs: CVD reactions in the Sr(DPM)2-Ti(i-OC3H7)4-O2 system, step coverage and relations between microstructure and electrical properties. The effect of the substrate temperature on the Sr and Ti deposition rates was first investigated for thermal and ECR CVD SrTiO3 films. SrO and TiO2 deposition by thermal CVD above 550°C were found to be controlled by the surface reaction and gas transport, respectively, whereas both SrO and TiO2 deposition are controlled by gas transport for ECR CVD at 450 to 600°C. The influence of the Sr and Ti deposition regimes on the step coverage of SrO, TiO2 and SrTiO3 were then assessed. SrO films prepared by thermal CVD at 600°C exhibited the best step coverage, indicating that a relation exists between reaction controlled deposition and good step coverage. The effect of the film composition and film thickness on the microstructure of SrTiO3 thin films were finally investigated and correlations were made to other analyzed physical and electrical properties. Polycrystalline perovskite phase SrTiO3 films were obtained for a composition 0.7 ≤ Sr/Ti ≤ 1.2. The best crystallinity, maximum permittivity and maximum refractive index were obtained for Sr/Ti = 0.95. Titanium rich films are thought to be composed of a mixture of a titanium rich amorphous phase and crystalline SrTiO3, and strontium rich films are believed top correspond to a (SrTiO3)m (SrO)n structure. The dielectric constant slowly decreased as the film thickness was reduced. The sharp decrease observed near 400–500 Å could be due to the existence of some perturbed layer at the interface with one or both of the electrodes  相似文献   

8.
Abstract

A novel type of down-flow LSMCVD (Liquid Source Mist CVD) reactor was developed to prepare a high dielectric BST thin film on Pt electrode on Si wafer. Barium acetate [Ba (OOCCH3)2], strontium acetate [Sr (OOCCH3)2], and titanium isoproxide [Ti (OC3H7 i )4] were used as metal sources. Metal sources were dissolved in acetic acid, 1-butanol, or 2-methoxyethanol. BST [Ba/(Ba + Sr) = 0.7] film annealed on Pt/Ti/SiO2/Si above 650°C was polycrystalline. BST film has a (110) preferred orientation with increasing temperature. Surface roughness of BST film and grain size increased with increasing temperature. The metal-oxygen bond was formed at 650°C as shown in the spectra of FTIR. The depth profiles of elements of BST thin films indicated a uniform composition throughout the film. BST films annealed at 750°C showed a dielectric constant and a tanδ of 390 (thickness: 150 nm) and 0.06 at a frequency of 100 kHz, respectively. The behavior of capacitance of the BST film with bias voltage showed paraelectric property. BST film annealed at 750°C had the leakage current density of 3.2 (μA/cm2) at a bias voltage of 2V.  相似文献   

9.
ABSTRACT

The (PbxSr1-x)TiO3 (PST) thin films were deposited on LaNiO3 (LNO(1 0 0))/ Pt/Ti/SiO2/Si substrates electrode by RF-magnetron sputtering using three different Pb target composition ranging from 32.5%~37.5% and different process condition. Structural and dielectric properties of the PST thin films for tunable microwave and DRAM application were investigated. The PST thin films deposited at 400°C show higher dielectric constant than those post-annealed at 600°C because of better crystallization. The former also have lower leakage current around 10?8 A/cm2 up to applied field of 350 kv/cm, which is suitable for DRAM application. On the other hand, the post-annealed PST thin films have satisfactory tunability around 58% and figure of merit around 30, which are more suitable for microwave device application.  相似文献   

10.
《Integrated ferroelectrics》2013,141(1):1437-1443
Ruthenium films formed by metalorganic chemical vapor deposition were investigated, taking account of the application to the bottom electrode of ferroelectric capacitors. Ruthenium films were deposited using a liquid-type source of Ru[EtCp]2 in a cold-wall type reactor with infrared lamps. A smooth and flat Ru film was successfully formed on a SiO2-covered Si substrate without a seed layer. As the deposition temperature increased to 400°C, the crystallinity of the Ru film improved and the film exhibited high c-axis orientation. After forming a Bi4 ? x La x Ti3O12 (BLT) film by a sol-gel technique, a Pt/BLT/Ru capacitor was fabricated on the Ru film. Good hysteresis loops with 2P r = 20 μC/cm2 and 2V c = 3.4 V were successfully obtained and the ferroelectric property did not depend on the deposition temperature of Ru in the temperature range from 325°C to 400°C. On the contrary, the leakage current density was significantly suppressed down to 1/100 as the deposition temperature of Ru increased from 325°C to 400°C.  相似文献   

11.
Abstract

The effects of deposition temperature on the properties of thin films of sputtered lead-zirconate-titanate (PZT) have been studied for ULSI DRAM storage capacitor dielectric applications. The films were deposited by reactive dc magnetron sputtering from a multi-component target. The grain size for the films deposited at 400°C was found to be less than 1000 Å, while it was ~ 10–30 μm for films deposited at 200°C. Small grain-sized material is desirable since it leads to better cell-to-cell uniformity in terms of charge storage capacity and other electrical and reliability properties. The optimum lead compensation was found to increase as the deposition temperature (T dep) increased. Leakage current density stays fairly constant as T dep is varied. As-deposited films, with a deposition temperature of 500°C, were rich in the perovskite phase and showed a high charge storage density of 11.2 μC/cm2 and a low leakage current density of 5.1 × 10?7 A/cm2 (both at 1.5 V). This implies the possibility of eliminating the high temperature crystallization-annealing step.  相似文献   

12.
《Integrated ferroelectrics》2013,141(1):965-972
The microstructure of Ba0.6Sr0.4TiO3 (BST)/RuO2 multi-layers grown on (100) MgO and (100) YSZ substrates, respectively, by pulsed-laser deposition (PLD) has been studied by transmission electron microscopy (TEM) and high-resolution electron microscopy (HREM). The RuO2 films deposited at 700°C adopt epitaxial relationships with both substrates. The epitaxial films on (100) MgO consist of two variants with an orientation relationship given by (110) RuO2//(100) MgO and [001] RuO2//[011] MgO. The epitaxial films on (100) YSZ contain four variants with an orientation relationship given by (200) RuO2//(100) YSZ and [011] RuO2//[001] YSZ. The BST films deposited on the RuO2 electrode are epitaxial on the (200) RuO2 films deposited on YSZ, and non-epitaxial on the (110) RuO2 films deposited on MgO. The epitaxial relationship between the BST and (200) RuO2 films can be described as (111) BST//(200) RuO2 and [1&1macr;0] BST//[011] RuO2. The BST films contain at least four variants. The growth and microstructural properties of the multi-layer structures can be understood based on geometrical consideration of the crystal structures.  相似文献   

13.
Integration of Y2O3 high-k thin film over Si as gate dielectric in high performance CMOS and high-density MOS memory storage capacitor devices is described. Y2O3 film growth by low-pressure chemical vapor deposition induces interfacial reactions and complex SiO2 – x layer growth. It has a graded structure, in crystalline-SiO2 form at Y2O3 side and amorphous SiO2 – x form at Si side. MIS devices based on Y2O3/SiO2-SiO2 – x composite dielectric integrated with Si show high frequency C-V behavior indicative of inversion to accumulation changes in capacitance. Observed bi-directional hysterisis in C-V is detrimental to the functioning of storage capacitor in memory function. Detailed investigation of this effect led to understanding of gate bias controlled emission of carriers as responsible mechanism. Observed anomalous increase in inversion capacitance at low frequency is attributed to additional charges transferred from SiO2 – x/Si interface states. Leakage current and injected charge carrier transport across bilayer interface is dominated by Poole-Frankel (PF) process at low fields and by Fowler-Nordhiem (FN) at high fields. This investigation provides a greater understanding of the complex nature of integration of Y2O3 films.  相似文献   

14.
Ultra fine rutile powders (below 50 nm) were prepared via the sol-gel process and bulk type TiO2 specimens were fabricated using spark plasma sintering (SPS). The TiO2 specimen sintered at a low temperature (720C) exhibited a highly relative density (97%) and a nano-sized grain structure (200 nm). Dielectric properties of spark plasma sintered TiO2 specimens including dielectric constants (k) and losses (tan δ) were measured. The TiO2 specimen, obtained by SPS, showed a high dielectric constant (∼780) and a low tan δ (∼0.005), and a relaxation behavior at 1 MHz. After the subsequent annealing process of the TiO2 specimen in O2 flow, the dielectric constants remarkably decreased (k = 100s). These dielectric properties of nanocrystalline TiO2 specimens prepared by SPS were discussed in terms of space charges produced by the reduction of Ti4+ ions and crystallographic orientations of grains.  相似文献   

15.
Abstract

Platinum and ruthenium oxide (RuO2) deposited by ion beam sputter-deposition are evaluated for use as electrodes for PZT thin film capacitors. The effect of deposition temperature, film thickness, and the presence of oxygen on hillock formation in platinum is discussed. It is shown that the hillock density in Pt/Ti/SiO2/Si films can be significantly reduced by properly controlling the processing conditions and film thickness. Stress measurements correlate with the experimental observation that depositing thinner platinum films (<800 Å) is an effective means of reducing hillock formation. The use of an intermediate deposition temperature 200–250°C also helps minimize hillock formation. Diffusion of the Ti adhesion layer into and/or through the platinum was significantly reduced by replacing the Ti with a TiOx adhesion layer. RuO2 electrodes are compared to Pt in terms of resistivity, surface morphology, microstructure and film orientation.  相似文献   

16.
Abstract

Variations of the leakage current behaviors and interface potential barrier height (φ B ) of rf-sputter deposited (Ba, Sr)TiO3 (BST) thin films, with thickness ranging from 20nm to 150 nm are investigated as a function of the thickness and bias voltages. The top and bottom electrodes are dc-sputter-deposited Pt films. φ B critically depends on the BST film deposition temperature, postannealing atmosphere and time after the annealing. The postannealing under N2 atmosphere results in a high interface potential barrier height and low leakage current. Maintaining the BST capacitor in air for a long time reduces the φ B from about 2.4 eV to 1.6eV due to the oxidation. φ B is not so dependent on the film thickness in this experimental range. The leakage conduction mechanism is very dependent on the BST film thickness; the 20nm thick film shows tunneling current, 30 and 40 nm thick films show Schottky emission current and the thicker films show a mixed characteristics and bulk and interface limited currents although the mechanism is not clearly understood at this moment.  相似文献   

17.
Abstract

The mechanism of TiN barrier metal oxidation of Pt/TiN electrodes are investigated for planarized stacked memory utilizing lead zirconate titanate (PZT). Thinner (<100 nm) and highly oriented platinum films are required in gigabit scale ferroelectric nonvolatile memories whose capacitor size is comparable to PZT grain size. Oxygen diffusivity to oxidize TiN is found to depend on the Pt film thickness. In cross-sectional TEM images of PZT/Pt/TiN/Si, titanium oxide is observed beneath the Pt grain boundary. The oxygen is diffused through the Pt grain boundary under heat treatment in an oxygen atmosphere for crystallization of PZT films, and oxidizes the underlying TiN barrier metal.  相似文献   

18.
Film texture and ferroelectric behaviors of (Bi3.15Nd0.85)Ti3O12 (BNdT) of layered-perovskite structure deposited on Pt/TiO2/Si substrate are dependent on the film thickness. When the film thickness is reduced from ∼240 to ∼120 nm, BNdT grains evolve from a rod-like morphology to a spherical morphology, accompanied by a decrease in average grain size. At the same time, P-E hysteresis transforms from a square-shaped hysteresis loop (2Pr ∼24.1 μC/cm2 at 240 nm) to a relative slimmer hysteresis loop (with a lower 2Pr = 19.8 μC/cm2 at 120 nm). The nonvolatile polarization (Δ P) shows a maximum at the film thickness of 160 nm, where Δ P was measured to be 14.7 μC/cm2 and 6.8 μC/cm2 at 5 V and 3 V, respectively. A small amount of excess bismuth in the film thickness of 130 nm, introduced by co-sputtering, can lead to a much enhanced remanent polarization (2Pr of 21.3 μC/cm2 at 5 V and 15.2 μC/cm2at 3 V), which is promising for low voltage FRAM applications.  相似文献   

19.
Abstract

In this study, integration of an hydrogen barrier into a FeRAM process flow is investigated. It is reported in the literature that ferroelectric properties can be maintained after hydrogen annealing by using IrOx as a top electrode [16][17][18]. Advantage of materials like IrOx is less catalytic activity compared to Pt. However, we found that IrOx is not a promising candidate for top electrode barrier. (Pt)/IrOx/SBT/Pt capacitors are prone to shorting or exhibit high leakage. IrOx films are very easily reduced by reducing ambient which will result in peeling off. Also, IrOx films tend to oxidize Ti or TiN layers immediately. Therefore, other barrier materials or layer sequences like Ir/IrOx have to be considered.

For protection of the entire capacitor an Encapsulation Barrier Layer (EBL) is required. In this study, LPCVD SiN is used. LPCVD SiN is a standard material in CMOS technology. Production tools are available and it is well known as hydrogen barrier. By modifying the deposition process and using a novel process sequence, no visual damage of the capacitors after SiN-deposition and FGA is seen. Also, no degradation of electrical properties after capacitor formation as well as after SiN-deposition and FGA is observed. However, after metal 1 and metal 2 processing, 2Pr values at 1.8V are reduced from 12μC/cm2to 2μC/cm2. Polarization at 5.0V is not affected.  相似文献   

20.
Abstract

A low thermal budget (with 550°C annealing) process with Ti-compensation for sputtered ferroelectric PZT thin films has been developed. PZT films with a composition near the morphotrophic phase boundary (Zr/Ti = 53/47) annealed at 550°C for 1 hr in a N2 ambient exhibits high charge storage density and low leakage current density, which are the important requirements of dielectric materials for ULSI DRAM cells. It was also found that Ti compensated films show good fatigue endurance in comparison with non-Ti compensated films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号